Thickness Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Thickness returned 892 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
2Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
3Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
4Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
5Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
6Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
7In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
8PEALD-Grown Crystalline AlN Films on Si(100) with Sharp Interface and Good Uniformity
9Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
10Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
11Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
12Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
13Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
14Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
15Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
16Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
17Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
18In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
19Optical and Electrical Properties of AlxTi1-xO Films
20Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
21Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
22Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
23PEALD AlN: controlling growth and film crystallinity
24Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
25TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
26Experimental and theoretical determination of the role of ions in atomic layer annealing
27Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
28Evaluation of plasma parameters on PEALD deposited TaCN
29Self-Limiting Growth of GaN at Low Temperatures
30Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
31Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
32Atomic Layer Deposition of Niobium Nitride from Different Precursors
33Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride
34Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
35Challenges in spacer process development for leading-edge high-k metal gate technology
36Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
37Electron-enhanced atomic layer deposition of silicon thin films at room temperature
38Optical and Electrical Properties of TixSi1-xOy Films
39Symmetrical Al2O3-based passivation layers for p- and n-type silicon
40Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
41Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
42Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
43Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
44Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
45Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
46XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
47Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
48Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
49Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor
50Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
51Plasma-enhanced atomic layer deposition of titanium vanadium nitride
52Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
53Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
54Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
55Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxide
56High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
57Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
58Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
59Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
60Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
61Enhancement of the Electrical Properties of Ga-doped ZnO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer
62Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
63Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
64Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
65Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
66Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
67Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
68Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
69Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
70Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
71In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
72Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition
73Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
74Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
75Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
76Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
77Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
78Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
79Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
80Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
81Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
82Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
83Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
84N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
85Spoof-like plasmonic behavior of plasma enhanced atomic layer deposition grown Ag thin films
86Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
87Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO2
88Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
89Microwave properties of superconducting atomic-layer deposited TiN films
90Comparative study of ALD SiO2 thin films for optical applications
91Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
92Structural and optical characterization of low-temperature ALD crystalline AlN
93Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
94Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
95Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
96Topographically selective deposition
97Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
98Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
99Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
100Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
101Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
102Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
103Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
104Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
105Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
106Properties of AlN grown by plasma enhanced atomic layer deposition
107Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
108Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
109Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
110The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
111Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
112Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
113Ru thin film grown on TaN by plasma enhanced atomic layer deposition
114Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
115Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
116Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
117High Mobility (210cm2/Vs), High Capacitance (7.2uF/cm2) ZrO2 on GaN Metal Oxide Semiconductor Capacitor via ALD
118Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
119Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
120Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
121Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
122WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
123Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
124Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
125A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
126Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
127Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
128Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
129Patterned deposition by plasma enhanced spatial atomic layer deposition
130Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
131Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
132Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
133The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
134Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
135Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
136The important role of water in growth of monolayer transition metal dichalcogenides
137Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
138Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
139Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
140Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
141TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
142Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
143Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
144High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
145Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3
146Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
147Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
148Atomic Layer Deposition Niobium Nitride Films for High-Q Resonators
149Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
150Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
151Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
152Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
153Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
154DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
155Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
156Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
157Self-limiting diamond growth from alternating CFx and H fluxes
158Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
159Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
160Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
161An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
162Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
163Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
164AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
165Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
166Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
167Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
168AlN epitaxy on SiC by low-temperature atomic layer deposition via layer-by-layer, in situ atomic layer annealing
169Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
170Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
171Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
172Plasma-Assisted Atomic Layer Deposition of Palladium
173New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
174Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
175Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
176In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
177Infrared single-photon sensitivity in atomic layer deposited superconducting nanowires
178Infrared study on low temperature atomic layer deposition of GaN using trimethylgallium and plasma-excited ammonia
179Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
180Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
181The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
182Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
183On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
184Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
185Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
186Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
187Carbon content control of silicon oxycarbide film with methane containing plasma
188Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
189Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
190Low temperature temporal and spatial atomic layer deposition of TiO2 films
191Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
192Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
193A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
194Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
195Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
196The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
197Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
198Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
199Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
200Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
201Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
202Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
203Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
204Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
205Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
206Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
207Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
208Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
209Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
210Innovative remote plasma source for atomic layer deposition for GaN devices
211Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
212Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
213Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
214The effects of layering in ferroelectric Si-doped HfO2 thin films
215Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
216Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
217Layer-by-layer epitaxial growth of GaN at low temperatures
218Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
219Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
220High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
221Infrared Study on Room-temperature Atomic Layer Deposition of TiO2 Using Tetrakis(dimethylamino)titanium and Remote-Plasma Excited Water Vapor
222Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
223Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
224Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
225Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
226Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
227Sub-7-nm textured ZrO2 with giant ferroelectricity
228Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
229Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
230Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
231Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
232Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
233Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
234Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
235A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
236A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
237Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
238Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
239Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
240Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
241Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
242Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
243Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
244PEALD of Copper using New Precursors for Next Generation of Interconnections
245Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
246Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
247Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
248Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
249Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
250The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
251Atomic layer controlled deposition of silicon nitride with self-limiting mechanism
252The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
253Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
254Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
255Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
256Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
257Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
258Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
259Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
260Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
261Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
262Plasma-enhanced atomic layer deposition of tungsten nitride
263Plasma-enhanced atomic layer deposition for plasmonic TiN
264Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
265Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
266Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
267Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
268Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
269Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
270Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
271Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition
272Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
273Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
274Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
275Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
276Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
277Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
278Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
279Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
280Sub-nanometer heating depth of atomic layer annealing
281TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
282Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
283Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
284Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
285Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
286Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
287Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
288Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
289Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
290The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
291Self Assembled Metamaterials Formed via Plasma Enhanced ALD of Ag Thin Films
292A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
293Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
294Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
295α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
296Plasma enhanced atomic layer deposition of aluminum sulfide thin films
297Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
298Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
299Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
300Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
301Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
302Perspectives on future directions in III-N semiconductor research
303Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
304Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
305Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon
306Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
307Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
308Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
309Atomic layer deposition of titanium nitride from TDMAT precursor
310Room temperature atomic layer deposition of TiO2 on gold nanoparticles
311Crystalline growth of AlN thin films by atomic layer deposition
312A Study of Ultrathin Superconducting Films of Niobium Nitride Obtained by Atomic Layer Deposition
313Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
314Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
315Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
316Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
317Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
318Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
319Atomic layer epitaxy for quantum well nitride-based devices
320Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates - Thesis Coverage
321Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
322Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
323Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
324Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
325Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
326The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
327Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
328Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
329Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
330Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
331Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
332Structure-property relationship and interfacial phenomena in GaN grown on C-plane sapphire via plasma-enhanced atomic layer deposition
333Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
334Atomic Layer Deposition of the Solid Electrolyte LiPON
335Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
336Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
337Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
338Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
339Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
340Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
341Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
342Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
343Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
344Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
345Plasma-Enhanced Atomic Layer Deposition of Ni
346Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
347Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
348Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
349Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
350Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
351Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
352Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
353Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
354PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
355GaN Nanowire MOSFET With Near-Ideal Subthreshold Slope
356Hydrogen-plasma-assisted hybrid atomic layer deposition of Ir thin film as novel Cu diffusion barrier
357Plasma enhanced atomic layer deposition of Ga2O3 thin films
358Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
359ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
360In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
361Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
362Plasma enhanced atomic layer deposition of gallium sulfide thin films
363Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
364Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
365Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
366Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
367Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
368Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
369Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
370Plasma enhanced atomic layer deposition of zinc sulfide thin films
371High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
372Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx
373Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
374A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
375Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
376ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
377Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
378Plasma-enhanced atomic layer deposition (PEALD) of cobalt thin films for copper direct electroplating
379Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
380Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
381Composite materials and nanoporous thin layers made by atomic layer deposition
382Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
383Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
384Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
385Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
386Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
387Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
388Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
389Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
390Polarization charge properties of low-temperature atomic layer deposition of AlN on GaN
391Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
392Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
393Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
394Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
395Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
396Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
397Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
398Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
399Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
400Plasma-enhanced atomic layer deposition of zinc phosphate
401Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
402Microscopic origin of bipolar resistive switching of nanoscale titanium oxide thin films
403Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
404High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
405Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
406Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
407Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
408A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
409Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
410Room-Temperature Atomic Layer Deposition of Platinum
411Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
412Atomic hydrogen-assisted ALE of germanium
413Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
414Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
415Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
416Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
417Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
418Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
419Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth
420Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
421Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
422Low temperature plasma enhanced deposition of GaP films on Si substrate
423Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
424Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
425Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
426Advances in the fabrication of graphene transistors on flexible substrates
427Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
428Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
429Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
430Sub-10-nm ferroelectric Gd-doped HfO2 layers
431Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
432Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
433Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
434Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
435PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
436Plasma-enhanced ALD system for SRF cavity
437An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
438Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
439Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
440Plasma-enhanced atomic layer deposition of vanadium nitride
441Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
442Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
443Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
444Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
445Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
446Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
447Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
448Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
449Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
450Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium
451Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
452RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
453Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
454Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
455Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
456Analysis of nitrogen species in titanium oxynitride ALD films
457PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
458A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
459Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
460ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
461Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
462MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
463Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
464Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
465Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
466Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
467Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
468Plasma enhanced atomic layer deposition of SiNx:H and SiO2
469Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
470Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
471Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
472Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
473Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
474Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
475Strongly Disordered TiN and NbTiN s-Wave Superconductors Probed by Microwave Electrodynamics
476Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
4773D structure evolution using metastable atomic layer deposition based on planar silver templates
478Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
479Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
480Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
481Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
482Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
483Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
484Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
485Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma
486Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
487Plasma Enhanced Atomic Layer Deposition on Powders
488GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
489Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
490Low-Temperature Plasma-Enhanced Atomic Layer Deposition of SiO2 Using Carbon Dioxide
491Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
492Graphene-based MMIC process development and RF passives design
493Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
494Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
495Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
496AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
497The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
498Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
499Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
500A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
501Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
502Damage evaluation in graphene underlying atomic layer deposition dielectrics
503Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
504Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
505Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
506Atomic Layer Deposition of SiN for spacer applications in high-end logic devices
507Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
508Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
509Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
510Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
511Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
512Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
513High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
514Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
515Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
516GeSbTe deposition for the PRAM application
517Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
518Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
519Atomic layer deposition of InN using trimethylindium and ammonia plasma
520Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
521In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
522Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
523GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
524In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
525Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
526Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
527Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
528Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
529Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
530Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
531Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
532Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
533Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
534Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
535Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy
536Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
537Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
538Gadolinium nitride films deposited using a PEALD based process
539Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
540Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
541Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
542Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
543Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
544ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
545Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
546Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
547Baking and plasma pretreatment of sapphire surfaces as a way to facilitate the epitaxial plasma-enhanced atomic layer deposition of GaN thin films
548Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
549ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
550Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
551Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
552Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
553Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
554Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
555Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
556Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
557The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
558Growing oriented AlN films on sapphire substrates by plasma-enhanced atomic layer deposition
559Measurements and modeling of the impact of radical recombination on silicon nitride growth in microwave plasma assisted atomic layer deposition
560Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
561ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
562PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
563Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
564Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
565Atomic layer deposition of GaN at low temperatures
566Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
567Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
568Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
569Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
570Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
571Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
572Superconducting nanowire single-photon detectors fabricated from atomic-layer-deposited NbN
573Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
574Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
575Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
576Plasma-enhanced atomic layer deposition of BaTiO3
577Normally-off AlGaN/GaN-on-Si metal-insulator-semiconductor heterojunction field-effect transistor with nitrogen-incorporated silicon oxide gate insulator
578Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
579Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
580Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
581Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
582Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
583Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
584Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition
585Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
586Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
587Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
588Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
589Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
590Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
591Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
592Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
593Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
594Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
595Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
596Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
597Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
598Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
599Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
600Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
601Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
602Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
603Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
604Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
605Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
606HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
607Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas
608Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
609Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
610Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
611Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
612Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
613Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
614Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
615Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
616Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
617ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
618Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
619Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
620Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
621Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
622Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
623Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
624A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
625Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
626Spectroscopy and control of near-surface defects in conductive thin film ZnO
627Atomic layer epitaxy of germanium
628Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
629Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
630Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
631Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
632Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
633Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
634Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
635Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
636Reaction mechanisms of atomic layer deposition of TaNx from Ta(NMe2)5 precursor and H2-based plasmas
637Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
638Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
639Atmospheric pressure plasma enhanced spatial ALD of silver
640Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
641Modal properties of a strip-loaded horizontal slot waveguide
642Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
643Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
644Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
645The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
646In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
647Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
648Metallic indium segregation control of InN thin films grown on Si(100) by plasma-enhanced atomic layer deposition
649Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
650Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
651In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
652Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
653Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
654Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
655Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
656Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
657Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
658Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
659Impact of interface materials on side permeation in indirect encapsulation of organic electronics
660Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
661A route to low temperature growth of single crystal GaN on sapphire
662Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
663Atomic layer deposition of YMnO3 thin films
664The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
665Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
666Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
667Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
668Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
669Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
670Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
671Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
672Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
673Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
674Gallium nitride thin films by microwave plasma-assisted ALD
675Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
676Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
677Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
678Area-Selective Atomic Layer Deposition of Two-Dimensional WS2 Nanolayers
679Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
680Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
681Study on the characteristics of aluminum thin films prepared by atomic layer deposition
682Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
683Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
684A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
685Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
686Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
687Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
688SiCxNy-based resistive and threshold switching by using single precursor plasma-enhanced atomic layer deposition
689Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
690Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
691Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
692Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
693Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
694Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
695Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
696Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
697Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
698Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
699Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
700Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
701Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
702Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
703Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
704Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
705Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
706Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
707Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
708In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
709A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
710Atomic layer epitaxy of Si using atomic H
711In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
712Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
713Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
714Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
715Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition
716Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
717Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
718Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
719Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
720Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
721Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
722Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
723Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
724RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
725Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
726In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
727Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
728Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
729Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
730XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
731Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
732Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition
733Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
734Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
735Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
736Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
737Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
738Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
739Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
740Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
741Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
742Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
743Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
744Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
745Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
746Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
747Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
748Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
749Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
750Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
751Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
752Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
753Hydrogen plasma-enhanced atomic layer deposition of copper thin films
754Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
755Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
756Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
757Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)
758Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
759Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
760Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
761Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
762Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
763Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
764Plasma-enhanced atomic layer deposition of superconducting niobium nitride
765Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
766Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
767Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
768Optimization of the Surface Structure on Black Silicon for Surface Passivation
769Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
770Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier
771Low temperature epitaxial growth of GaP on Si by atomic-layer deposition with plasma activation
772Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
773Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
774Thin film GaP for solar cell application
775Characteristics of HfO2 thin films grown by plasma atomic layer deposition
776Interaction of hydrogen with hafnium dioxide grown on silicon dioxide by the atomic layer deposition technique
777Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
778Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
779Texture of atomic layer deposited ruthenium
780Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
781Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
782Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
783Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
784Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
785Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
786Fast PEALD ZnO Thin-Film Transistor Circuits
787The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
788Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
789Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
790Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
791Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
792Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
793Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
794Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
795Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
796Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 + 95% N2) plasma
797Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
798TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
799Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
800Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
801Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
802Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
803Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
804Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
805Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
806Lateral conductivity of n-GaP/p-Si heterojunction with an inversion layer
807Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
808Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
809Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
810Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
811Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
812Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
813Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
814Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
815Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
816Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
817Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
818Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
819Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
820Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
821The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
822Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
823Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
824Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
825Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
826Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
827Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
828Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
829Tribological properties of thin films made by atomic layer deposition sliding against silicon
830Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
831Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
832Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
833Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
834Plasma enhanced atomic layer deposition of Fe2O3 thin films
835Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
836Densification of Thin Aluminum Oxide Films by Thermal Treatments
837Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
838Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
839In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
840Remote Plasma ALD of Platinum and Platinum Oxide Films
841Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
842Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
843Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
844A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
845Growing aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
846Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
847Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
848Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
849Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
850Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
851Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
852Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
853Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
854Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
855Copper-ALD Seed Layer as an Enabler for Device Scaling
856Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
857Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
858Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
859Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
860Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
861Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
862Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
863Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
864Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
865Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
866Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
867Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
868Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
869An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
870Ga2O3 MOSFETs Using Spin-On-Glass Source/Drain Doping Technology
871The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
872Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties