TBTDET, tert-butylimido tris(diethylamino)tantalum, Ta[NEt2]3[=N-t-Bu)3], CAS# 169896-41-7

Where to buy

NumberVendorRegionLink
1Pegasus ChemicalsπŸ‡¬πŸ‡§Tris(diethylamido)(tert-butylimido)tantalum(V)
2Alfa AesarπŸ‡ΊπŸ‡ΈTantalum tris(diethylamido)-tert-butylimide, 99.99% (metals basis)
3EreztechπŸ‡ΊπŸ‡ΈTris(diethylamido) (tert-butylimido) tantalum(V)
4Alfa AesarπŸ‡ΊπŸ‡ΈTert-butylimido tris(diethylamido) tantalum
5Strem Chemicals, Inc.πŸ‡ΊπŸ‡Έ(t-Butylimido)tris(diethylamino)tantalum(V), min. 98% (99.99%-Ta)
6Santa Cruz BiotechnologyπŸ‡ΊπŸ‡ΈTris(diethylamido)(tert-butylimido)tantalum(V)
7GelestπŸ‡ΊπŸ‡ΈTris(Diethylamino)(t-Butylimino) Tantalum
8Sigma-Aldrich, Co. LLCπŸ‡ΊπŸ‡ΈTris(diethylamido)(tert-butylimido)tantalum(V)
9EpiValenceπŸ‡¬πŸ‡§Tantalum tert-butylimido trisdiethylamide

www.plasma-ald.com does not endorse any chemical suppliers. These links are provided for the benefit of our users. If a link goes bad, let us know.

If you would like your company's precursor products listed, or your existing listing changed or removed, send me an email.


Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 26 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
2Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
3Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
4Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
5The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
6Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
7Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
8Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
9Chemical Reaction Mechanism in the Atomic Layer Deposition of TaCxNy Films Using tert-Butylimidotris(diethylamido)tantalum
10Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
11Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
12Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
13High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
14Evaluation of plasma parameters on PEALD deposited TaCN
15Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
16Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
17Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
18Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
19Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
20Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
21Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
22The Properties of Cu Thin Films on Ru Depending on the ALD Temperature
23High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
24Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
25A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
26Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films