O2, Oxygen, CAS# 7782-44-7

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 1240 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
2Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
3Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
4Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
5On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
6Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition
7Remote plasma-enhanced atomic layer deposition of gallium oxide thin films with NH3 plasma pretreatment
8Topographically selective deposition
9Room-Temperature Atomic Layer Deposition of Platinum
10Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method
11Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
12Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
13Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
14Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
15'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
16Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
17Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
18Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
19Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
20AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
21Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
22Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
23Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
24Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
25Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
26Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
27Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
28Correlation between SiO2 growth rate and difference in electronegativity of metal-oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor
29Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO2 thin films grown by the atomic layer deposition technique
30Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
31Dielectric barrier layers by low-temperature plasma-enhanced atomic layer deposition of silicon dioxide
32Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
33Wideband frequency and in situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
34Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
35Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
36Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
37Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
38Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
39Water Vapor Treatment of Low-Temperature Deposited SnO2 Electron Selective Layers for Efficient Flexible Perovskite Solar Cells
40Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
41Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
42Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
43A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
44Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
45Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
46Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
47Atomic layer deposition of metal-oxide thin films on cellulose fibers
48In-gap states in titanium dioxide and oxynitride atomic layer deposited films
49Dynamic tuning of plasmon resonance in the visible using graphene
50Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
51Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
52High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
53Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
54Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
55Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
56Band alignment of Al2O3 with (-201) β-Ga2O3
57Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
58Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
59Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
60Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
61Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
62Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
63Tailoring Electron-Transfer Barriers for Zinc Oxide/C60 Fullerene Interfaces
64Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
65Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
66Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
67Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
68Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
69High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
70Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
71High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
72Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
73Electrical Characteristics of Top-Down ZnO Nanowire Transistors Using Remote Plasma ALD
74Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
75Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
76Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
77Hafnia and alumina on sulphur passivated germanium
78Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
79Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
80Modal properties of a strip-loaded horizontal slot waveguide
81Passivation effects of atomic-layer-deposited aluminum oxide
82Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
83Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
84Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
85Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
86Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
87Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
88Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
89Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
90Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
91Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
92Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
93First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
94Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
95Top-down fabricated ZnO nanowire transistors for application in biosensors
96Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)2 and O2 plasma
97The Influence of Technology and Switching Parameters on Resistive Switching Behavior of Pt/HfO2/TiN MIM Structures
98Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD
99Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
100Cost-effective hole transporting material for stable and efficient perovskite solar cells with fill factors up to 82%
101A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
102Optical display film as flexible and light trapping substrate for organic photovoltaics
103Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
104Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
105Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
106Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
107Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
108AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
109Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
110Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
111Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
112Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
113A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
114Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
115Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
116Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
117Characteristics of ZrO2 gate dielectric deposited using Zr(t –butoxide) and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method
118Band alignment of atomic layer deposited SiO2 and HfSiO4 with $(\bar{2}01)$ β-Ga2O3
119Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
120Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
121Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
122Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
123Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
124Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
125Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
126Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
127Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
128Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
129Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
130Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
131Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
132Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
133Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
134Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
135Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
136Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
137Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
138Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
139Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
140Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
141Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
142Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
143Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
144Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
145Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
146Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
147Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
148Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
149Co/CoP Nanoparticles Encapsulated Within N, P-Doped Carbon Nanotubes on Nanoporous Metal-Organic Framework Nanosheets for Oxygen Reduction and Oxygen Evolution Reactions
150Comparative study of ALD SiO2 thin films for optical applications
151A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes
152Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
153Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
154Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
155The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
156Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
157Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
158Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
159Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
160Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
161Energy-enhanced atomic layer deposition for more process and precursor versatility
162Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
163Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
164Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
165Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
166Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
167Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
168Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
169Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
170Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
171Capacitance spectroscopy of gate-defined electronic lattices
172Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
173Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
174Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
175Improvement of Gas-Sensing Performance of Large-Area Tungsten Disulfide Nanosheets by Surface Functionalization
176The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
177Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
178Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
179Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
180Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
181Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
182Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
183Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
184Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
185Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
186Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
187Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
188Hysteresis behaviour of top-down fabricated ZnO nanowire transistors
189Gate Insulator for High Mobility Oxide TFT
190Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
191Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
192Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
193Band alignment of atomic layer deposited TiO2/multilayer MoS2 interface determined by x-ray photoelectron spectroscopy
194Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
195Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
196Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
197Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
198Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
199Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
200Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
201Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
202Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
203Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
204Radical Enhanced Atomic Layer Deposition of Metals and Oxides
205Influence of plasma power on deposition mechanism and structural properties of MoOx thin films by plasma enhanced atomic layer deposition
206Effect of hydrogen participation on the improvement in electrical characteristics of HfO2 gate dielectrics by post-deposition remote N2 , N2/H2, and NH3 plasma treatments
207Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
208Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
209Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
210Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
211Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
212Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
213In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
214Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
215Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
216Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
217Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
218The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
219Catalytic Combustion and Dehydrogenation Reactions during Atomic Layer Deposition of Platinum
220Antireflection In2O3 coatings of self-organized TiO2 nanotube layers prepared by atomic layer deposition
221Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
222Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
223Propagation Effects in Carbon Nanoelectronics
224Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
225Localized dielectric breakdown and antireflection coating in metal-oxide-semiconductor photoelectrodes
226Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
227Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
228Patterned deposition by plasma enhanced spatial atomic layer deposition
229Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition
230The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
231Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
232Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
233Single-Cell Photonic Nanocavity Probes
234PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
235Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
236Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
237Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
238Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
239Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
240Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
241Long period gratings coated with hafnium oxide by plasma-enhanced atomic layer deposition for refractive index measurements
242Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
243Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
244Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
245Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
246Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
247Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
248Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
249Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
250Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
251Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
252PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
253Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
254Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
255Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
256Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
257PEALD ZrO2 Films Deposition on TiN and Si Substrates
258Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
259Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
260Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
261Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
262Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
263Band alignment of atomic layer deposited SiO2 on (010) (Al0.14Ga0.86)2O3
264Improved film quality of plasma enhanced atomic layer deposition SiO2 using plasma treatment cycle
265Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
266Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
267Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
268Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
269Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
270Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
271Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
272Optical properties and bandgap evolution of ALD HfSiOx films
273Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
274Growth of silica nanowires in vacuum
275Highly reflective polymeric substrates functionalized utilizing atomic layer deposition
276Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
277Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
278Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
279Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
280Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
281Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
282Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
283The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD
284Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
285Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
286Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
287Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
288Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
289Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
290Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
291Gate-tunable high mobility remote-doped InSb/In1-xAlxSb quantum well heterostructures
292Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
293Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
294Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
295Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
296Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
297Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
298Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
299Interfacial Self-Cleaning during PEALD HfO2 Process on GaAs Using TDMAH/O2 with Different (NH4)2S Cleaning Time
300Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
301Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
302Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
303Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
304Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
305Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
306Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
307TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
308Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach
309Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
310Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
311ZrO2 on GaN metal oxide semiconductor capacitors via plasma assisted atomic layer deposition
312Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
313Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
314In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
315Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
316Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
317Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
318Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
319Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition
320Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
321Radical Enhanced Atomic Layer Deposition of Metals and Oxides
322Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
323Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
324Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
325Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
326Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
327Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
328Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
329Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
330The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
331Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
332Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
333Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
334Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
335In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
336Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
337Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
338High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
339Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
340Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric
341Characteristics of HfO2 thin films grown by plasma atomic layer deposition
342Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
343Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
344Energy-enhanced atomic layer deposition for more process and precursor versatility
345Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
346Highly efficient and bending durable perovskite solar cells: toward a wearable power source
347Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
348Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
349High-Reflective Coatings For Ground and Space Based Applications
350Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
351Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
352Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
353Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
354Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
355Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
356Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
357Atomic Layer Deposition of Gold Metal
358Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
359Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
360Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
361Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
362Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
363Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
364High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
3651D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
366Plasma assisted atomic layer deposited hafnium oxide films for silicon surface passivation
367Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition
368Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
369A high speed PE-ALD ZnO Schottky diode rectifier with low interface-state density
370Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors
371Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
372Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
373Total-dose radiation response and and post-irradiation annealing response of Hafnium capacitors
374Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
375Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
376Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
377Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
378The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
379Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
380On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
381Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
382Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
383Theoretical Understanding of the Reaction Mechanism of SiO2 Atomic Layer Deposition
384Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
385Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
386Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
387Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
388Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
389Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
390Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
391Plasma Enhanced Atomic Layer Deposition of SiO2 Using Space-Divided Plasma System
392Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
393In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
394Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
395Mechanical properties of thin-film Parylene-metal-Parylene devices
396Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
397Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
398Flexible, light trapping substrates for organic photovoltaics
399Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
400Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
40146-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
402Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
403On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
404Internal Photoemission Spectroscopy Measurements of the Energy Barrier Heights between ALD SiO2 and Ta-Based Amorphous Metals
405Plasma-enhanced atomic layer deposition of zinc phosphate
406Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
407Innovative remote plasma source for atomic layer deposition for GaN devices
408Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
409Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
410Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
411Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
412Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
413Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
414Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
415Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
416Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
417High-efficiency embedded transmission grating
418Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
419Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
420Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
421Thickness and Morphology Dependent Electrical Properties of ALD-Synthesized MoS2 FETs
422Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
423Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
424Encapsulation method for atom probe tomography analysis of nanoparticles
425Trapped charge densities in Al2O3-based silicon surface passivation layers
426Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
427Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
428Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
429Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
430Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
431Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
432Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
433Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
434Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
435'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
436The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
437Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
438Systematic efficiency study of line-doubled zone plates
439Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
440Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
441Optical and electrical properties of Al:WS2 films prepared by atomic layer deposition and vulcanization
442A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
443Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns
444Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
445Conformal Formation of (GeTe2)(1-x)(Sb2Te3)x Layers by Atomic Layer Deposition for Nanoscale Phase Change Memories
446Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
447Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
448Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
449Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
450Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
451Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
452Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
453AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
454Molybdenum Disulfide Catalytic Coatings via Atomic Layer Deposition for Solar Hydrogen Production from Copper Gallium Diselenide Photocathodes
455Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
456Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
457High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
458Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
459Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
460Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
461Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
462Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
463On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
464Plasma-assisted atomic layer deposition of conformal Pt films in high aspect ratio trenches
465Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
466N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
467Comparison of thermal, plasma-enhanced and layer by layer Ar plasma treatment atomic layer deposition of Tin oxide thin films
468Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
469Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
470Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
471Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
472Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
473Measurement and compensation of misalignment in double-sided hard X-ray Fresnel zone plates
474Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
475Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
476Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
477Efficient Modification of Metal Oxide Surfaces with Phosphonic Acids by Spray Coating
478Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
479Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
480Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2
481Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
482Study on the resistive switching time of TiO2 thin films
483Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
484The important role of water in growth of monolayer transition metal dichalcogenides
485Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
486Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
487Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
488Systematic Study of the SiOx Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiOx/SiO2 Super-Lattice
489Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
490Opportunities of Atomic Layer Deposition for Perovskite Solar Cells
491Impact of interface materials on side permeation in indirect encapsulation of organic electronics
492Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
493PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
494Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
495Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
496RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
497Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
498Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
499Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
500Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
501Deposition temperature dependence of titanium oxide thin films grown by remote-plasma atomic layer deposition
502Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
503Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
504Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
505Plasma-enhanced atomic layer deposition of BaTiO3
506Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
507Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
508Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
509TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
510Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
511Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition
512Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
513Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
514Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
515Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations
516Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
517Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
518High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
519Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
520Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
521High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
522A low-temperature-grown TiO2 -based device for the flexible stacked RRAM application
523Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
524Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration
525Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
526Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
527Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
528TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
529Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
530Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
531Residual stress study of thin films deposited by atomic layer deposition
532Large area, patterned growth of 2D MoS2 and lateral MoS2-WS2 heterostructures for nano- and opto-electronic applications
533Radical Enhanced Atomic Layer Deposition of Metals and Oxides
534Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
535Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
536Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
537Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
538Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
539Very High Refractive Index Transition Metal Dichalcogenide Photonic Conformal Coatings by Conversion of ALD Metal Oxides
540Remote Plasma Atomic Layer Deposition of Thin Films of Electrochemically Active LiCoO2
541Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
542Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
543Bipolar resistive switching in amorphous titanium oxide thin film
544Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
545Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
546Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
547Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
548Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
549Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
550Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
551Applications of nanoNewton dielectrophoretic forces using atomic layer deposited oxides for microfluidic sample preparation and proteomics
552Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
553Remote Plasma ALD of Platinum and Platinum Oxide Films
554Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
555Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
556Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
557Demonstration of c-Si Solar Cells With Gallium Oxide Surface Passivation and Laser-Doped Gallium p+ Regions
558Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity
559Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
560Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing
561Pt Nanocrystals Embedded in Remote Plasma Atomic-Layer-Deposited HfO2 for Nonvolatile Memory Devices
562Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
563Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
564Spectroscopy and control of near-surface defects in conductive thin film ZnO
565Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
566A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
567Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
568Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
569Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
570Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
571Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
572Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
573Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
574Plasma enhanced atomic layer deposition of gallium oxide on crystalline silicon: demonstration of surface passivation and negative interfacial charge
575Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
576Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
577Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
578Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
579Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
580α-Ga2O3 grown by low temperature atomic layer deposition on sapphire
581Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
582Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
583Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
584Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
585Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
586Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
587Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
588Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
589Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
590ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
591Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
592Low-bandgap mixed tin-lead iodide perovskite absorbers with long carrier lifetimes for all-perovskite tandem solar cells
593Multiplexed actuation using ultra dielectrophoresis for proteomics applications: a comprehensive electrical and electrothermal design methodology
594Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
595Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
596Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
597The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
598Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
599Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
600Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
601Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
602Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300°C low temperature process with plasma-enhanced atomic layer deposition
603In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
604Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
605The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
606Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
607Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
608Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition
609Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
610Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
611Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
612The reaction pathways of the oxygen plasma pulse in the hafnium oxide atomic layer deposition process
613Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
614Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
615DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
616An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
617High-efficiency embedded transmission grating
618Effect of Crystal Structure and Grain Size on Photo-Catalytic Activities of Remote-Plasma Atomic Layer Deposited Titanium Oxide Thin Film
619Band Offsets for Atomic Layer Deposited HfSiO4 on (Al0.14Ga0.86)2O3
620Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
621Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
622Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
623Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
624Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
625Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
626Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
627Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
628Remote Plasma and Thermal ALD of Platinum and Platinum Oxide Films
629Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition
630Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
631A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
632Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
633Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
634Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
635Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition
636Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes
637Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
638Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
639Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
640Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
641Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
642Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
643A New Hole Transport Material for Efficient Perovskite Solar Cells With Reduced Device Cost
644Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
645Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
646Radical Enhanced Atomic Layer Deposition of Metals and Oxides
647Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
648Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
649Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
650On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
651Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
652Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
653Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
654Experimental verification of electro-refractive phase modulation in graphene
655Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
656Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
657Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate
658Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
659Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
660Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
661High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
662Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
663Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
664Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
665Atomic layer deposition of YMnO3 thin films
666Impact of interface materials on side permeation in indirect encapsulation of organic electronics
667New insights on the chemistry of plasma-enhanced atomic layer deposition of indium oxysulfide thin films and their use as buffer layers in Cu(In,Ga)Se2 thin film solar cell
668Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
669Plasma-enhanced atomic layer deposition of BaTiO3
670Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
671TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
672High-Reflective Coatings For Ground and Space Based Applications
673Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
674Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
675Sub-7-nm textured ZrO2 with giant ferroelectricity
676Designing high performance precursors for atomic layer deposition of silicon oxide
677Impact of Post Fabrication Annealing PEALD ZrO2 for GaN MOSFETs
678An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
679Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
680Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
681MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
682Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
683Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
684Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
685Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
686Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
687Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
688Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
689Lithium-Iron (III) Fluoride Battery with Double Surface Protection
690Fiber-matrix interface reinforcement using Atomic Layer Deposition
691Optical and Electrical Properties of TixSi1-xOy Films
692Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
693Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
694Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
695Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
696Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
697Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
698In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
699ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
700Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
701Residual stress study of thin films deposited by atomic layer deposition
702Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment
703Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
704Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
705Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
706Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
707The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
708Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
709Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
710Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
711Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
712Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
713Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
714Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
715Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
716Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
717Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
718Plasma-enhanced atomic layer deposition of BaTiO3
719Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
720Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
721Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
722Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
723Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition
724Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
725Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
726Energy dissipation during pulsed switching of strontium-titanate based resistive switching memory devices
727Sub-nanometer dimensions control of core/shell nanoparticles prepared by atomic layer deposition
728Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
729Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
730HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
731Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
732Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
733Exploiting atomic layer deposition for fabricating sub-10nm X-ray lenses
734Flexible Perovskite Photovoltaic Modules and Solar Cells Based on Atomic Layer Deposited Compact Layers and UV-Irradiated TiO2 Scaffolds on Plastic Substrates
735A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
736Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
737Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
738The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
739Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
740Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
741Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
742Development and characterization of an atmospheric pressure plasma reactor compatible with spatial ALD
743Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
744Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
745Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
746Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition
747Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
748Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
749Simultaneous scanning tunneling microscopy and synchrotron X-ray measurements in a gas environment
750AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
751Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
752Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
753Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
754Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
755Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
756High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
757Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
758High Energy Density Capacitor By Plasma-Treated ALD BaTiO3 Thin Films
759Employing Overlayers To Improve the Performance of Cu2BaSnS4 Thin Film based Photoelectrochemical Water Reduction Devices
760Ion energy control and its applicability to plasma enhanced atomic layer deposition for synthesizing titanium dioxide films
761Tailoring the Electrical Properties of HfO2 MOS-Devices by Aluminum Doping
762Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
763Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
764Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
765Poly-Si gate electrodes for AlGaN/GaN HEMT with high reliability and low gate leakage current
766Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
767High-resolution, high-aspect-ratio iridium-nickel composite nanoimprint molds
768Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
769The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation
770Piezoelectric Properties of Zinc Oxide Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
771Lithium-Iron (III) Fluoride Battery with Double Surface Protection
772Trilayer Tunnel Selectors for Memristor Memory Cells
773Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
774Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
775Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
776Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
777Surface Infrared Spectroscopy during Low Temperature Growth of Supported Pt Nanoparticles by Atomic Layer Deposition
778Damage evaluation in graphene underlying atomic layer deposition dielectrics
779Half-wave phase retarder working in transmission around 630nm realized by atomic layer deposition of sub-wavelength gratings
780Low-temperature plasma-enhanced atomic layer deposition of tin oxide electron selective layers for highly efficient planar perovskite solar cells
781Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
782Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
783Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
784Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
785Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
786Epitaxial 1D electron transport layers for high-performance perovskite solar cells
787Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
788Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
789Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
790Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD)
791Radical Enhanced Atomic Layer Deposition of Metals and Oxides
792Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
793Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
794Plasma enhanced atomic layer deposition of Fe2O3 thin films
795Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
796Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy
797ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
798Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
799In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
800Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
801Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
802Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
803Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
804Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
805Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
806Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
807Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
808Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
809Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
810Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
811Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
812Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
813Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
814Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
815Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
816Role of plasma properties in controlling crystallinity and phase in oxide films grown by plasma-enhanced atomic layer epitaxy
817Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
818Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition
819Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
820Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
821In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
822Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights
823Breakdown and Protection of ALD Moisture Barrier Thin Films
824Evidence for low-energy ions influencing plasma-assisted atomic layer deposition of SiO2: Impact on the growth per cycle and wet etch rate
825ZnO Thin Films Fabricated by Plasma-Assisted Atomic Layer Deposition
826Engineering Interfacial Silicon Dioxide for Improved Metal-Insulator-Semiconductor Silicon Photoanode Water Splitting Performance
827Hafnia and alumina on sulphur passivated germanium
828Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
829Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
830Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
831Effects of TiO2 Interfacial Atomic Layers on Device Performances and Exciton Dynamics in ZnO Nanorod Polymer Solar Cells
832Metal-insulator-semiconductor structure using Ga2O3 layer by plasma enhanced atomic layer deposition
833Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
834X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
835Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
836Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
837Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
838Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
839The impact of ultra-thin titania interlayers on open circuit voltage and carrier lifetime in thin film solar cells
840Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
841Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
842Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
843Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
844Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
845Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
846Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
847Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
848Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
849Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
850Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
851Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
852Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
853Charge effects of ultrafine FET with nanodot type floating gate
854Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
855Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
856Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
857MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
858Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
859Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper
860Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators
861Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
862Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
863The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
864Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
865Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
866Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
867The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films
868Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
869Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
870Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
871Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
872Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
873Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition
874Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
875Macro-conformality of coatings deposited using high-speed spatial plasma-enhanced atomic layer deposition
876Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
877SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance
878Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
879Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
880Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
881Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
882Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
883Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
884Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
885Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
886Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
887Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
888Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
889Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor
890Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
891Optical properties and bandgap evolution of ALD HfSiOx films
892Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
893Tuning of undoped ZnO thin film via plasma enhanced atomic layer deposition and its application for an inverted polymer solar cell
894Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
895In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
896Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
897Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
898Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
899Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
900Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
901Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
902In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
903Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
904Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
905Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
906XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
907Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
908Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
909All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
910Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
911Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
912Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
913XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
914The size effect of titania-supported Pt nanoparticles on the electrocatalytic activity towards methanol oxidation reaction primarily via the bifunctional mechanism
915Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
916Low Thermal Budget Heteroepitaxial Gallium Oxide Thin Films Enabled by Atomic Layer Deposition
917Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
918Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
919Photovoltaic Rudorffites: Lead-Free Silver Bismuth Halides Alternative to Hybrid Lead Halide Perovskites
920Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
921Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
922Annealing behavior of ferroelectric Si-doped HfO2 thin films
923Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
924Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
925Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
926An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
927Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
928All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
929Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
930Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
931Sub-10-nm ferroelectric Gd-doped HfO2 layers
932MANOS performance dependence on ALD Al2O3 oxidation source
933Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
934On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
935Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
936On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
937Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
938Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
939Plasma-enhanced atomic layer deposition for antireflection coatings using SiO2 as low-refractive index material
940Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
941Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
942Radical Enhanced Atomic Layer Deposition of Metals and Oxides
943Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
944Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
945Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
946Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
947Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
948Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
949PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
950Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
951Synthesis and Characterization of Tin Oxide By Atomic Layer Deposition for Solid-State Batteries
952Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
953Atomic layer deposition of titanium dioxide using titanium tetrachloride and titanium tetraisopropoxide as precursors
954Radical Enhanced Atomic Layer Deposition of Metals and Oxides
955Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
956Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
957Tuning size and coverage of Pd nanoparticles using atomic layer deposition
958Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
959Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD
960On the equilibrium concentration of boron-oxygen defects in crystalline silicon
961In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
962Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
963Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
964Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
965Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
966A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
967Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
968Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
969Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
970Investigating the interface characteristics of high-k ZrO2/SiO2 stacked gate insulator grown by plasma-enhanced atomic layer deposition for improving the performance of InSnZnO thin film transistors
971Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
972Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
973Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
974Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
975Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
976Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
977Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
978Electrical Comparison of HfO2 and ZrO2 Gate Dielectrics on GaN
979Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
980Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
981Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
982HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
983From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
984Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
985Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy
986Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
987Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
988Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
989Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
990Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
991Symmetrical Al2O3-based passivation layers for p- and n-type silicon
992Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
993Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
994Low-Leakage Tetragonal ZrO2 (EOT < 1 nm) With In Situ Plasma Interfacial Passivation on Germanium
995Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
996Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films
997Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
998Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
999Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
1000Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
1001Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
1002Effect of annealing conditions on formation of SrRuO3 films by interfacial reaction of SrO/RuO2 bi-layer films
1003Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
1004Low Resistive Edge Contacts to CVD-Grown Graphene Using a CMOS Compatible Metal
1005In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
1006Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
1007Damage evaluation in graphene underlying atomic layer deposition dielectrics
1008Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low Te plasma sources
1009Advances in the fabrication of graphene transistors on flexible substrates
1010Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
1011Electrochemical Performance of Lithium-Nickel Oxide Thin Films Obtained with Use of Atomic Layer Deposition
1012Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
1013Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
1014IrO2 Nanodot Formation by Plasma Enhanced Atomic Layer Deposition as a Charge Storage Layer
1015Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
1016Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy
1017Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
1018Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
1019Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
1020Transient characterization of the electroforming process in TiO2 based resistive switching devices
1021Atomic layer deposition of B2O3/SiO2 thin films and their application in an efficient diffusion doping process
1022Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
1023Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
1024In situ spectroscopic ellipsometry during atomic layer deposition of Pt, Ru and Pd
1025Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
1026Very high frequency plasma reactant for atomic layer deposition
1027Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
1028Impedance spectroscopy analysis on the effects of TiO2 interfacial atomic layers in ZnO nanorod polymer solar cells: Effects of interfacial charge extraction on diffusion and recombination
1029Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
1030Method of Fabrication for Encapsulated Polarizing Resonant Gratings
1031Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
1032Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
1033A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
1034A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
1035Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
1036Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
1037Inhibition of Crystal Growth during Plasma Enhanced Atomic Layer Deposition by Applying BIAS
1038Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
1039Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
1040In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
1041Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
1042Index matching at the nanoscale: light scattering by core-shell Si/SiOx nanowires
1043The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
1044Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
1045Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
1046Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
1047Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
1048Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
1049Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
1050Remote Plasma ALD of Platinum and Platinum Oxide Films
1051Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
1052Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
1053Atomic Layer Deposition of Aluminum Phosphate Based on the Plasma Polymerization of Trimethyl Phosphate
1054Breakdown and Protection of ALD Moisture Barrier Thin Films
1055Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
1056Optimization of the Surface Structure on Black Silicon for Surface Passivation
1057Plasma enhanced atomic layer deposition of Ga2O3 thin films
10583D structure evolution using metastable atomic layer deposition based on planar silver templates
1059Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
1060Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
1061Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
1062Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
1063Improved understanding of recombination at the Si/Al2O3 interface
1064Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
1065Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
1066On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
1067Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
1068The important role of water in growth of monolayer transition metal dichalcogenides
1069Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
1070Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
1071Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
1072Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
1073Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
1074Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
1075Oxygen migration in TiO2-based higher-k gate stacks
1076Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
1077HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
1078Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
1079Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
1080Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
1081The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
1082Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
1083DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
1084Nanoshape Imprint Lithography for Fabrication of Nanowire Ultracapacitors
1085Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
1086Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
1087Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
1088Lithium-Iron (III) Fluoride Battery with Double Surface Protection
1089A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
1090Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
1091Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1092Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
1093Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
1094Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
1095Densification of Thin Aluminum Oxide Films by Thermal Treatments
1096Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
1097ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
1098Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
1099Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
1100Biofilm prevention on cochlear implants
1101Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
1102Thermal Stability of ALD HfO2 Thin Films and Interfacial Layers on the Oxynitride Underlayer Formed Using Remote Plasma
1103Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
1104Optical properties and bandgap evolution of ALD HfSiOx films
1105Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
1106Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
1107ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition: Material Properties Within and Outside the "Atomic Layer Deposition Window"
1108Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
1109Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
1110Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
1111Flexible Memristive Memory Array on Plastic Substrates
1112An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
1113Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
1114Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
1115Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
1116Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
1117Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
1118Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
1119Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
1120Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition
1121Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
1122Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
1123Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
1124Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
1125Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
1126Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
1127Selective Deposition of Low Temperature AlN Ohmic Contacts for GaN Devices
1128Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition
1129Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
1130Excellent surface passivation of crystalline silicon by ternary AlxMg1-xOy thin films
1131Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
1132Low temperature temporal and spatial atomic layer deposition of TiO2 films
1133HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
1134Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
1135Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
1136A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
1137Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
1138Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
1139Efficient and Sustained Photoelectrochemical Water Oxidation by Cobalt Oxide/Silicon Photoanodes with Nanotextured Interfaces
1140Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
1141Process Control of Atomic Layer Deposition Molybdenum Oxide Nucleation and Sulfidation to Large-Area MoS2 Monolayers
1142Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
1143Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
1144Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
1145Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
1146Evaluation of Vapor Deposition Techniques for Membrane Pore Size Modification
1147Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
1148Optical in situ monitoring of plasma-enhanced atomic layer deposition process
1149Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
1150Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments
1151Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
1152Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
1153Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
1154Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
1155Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
1156Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
1157Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
1158Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
1159Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
1160The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
1161Optical and Electrical Properties of AlxTi1-xO Films
1162Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
1163Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
1164Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
1165Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
1166The "Pure Marriage" between 3D Printing and Well-Ordered Nanoarrays by Using PEALD Assisted Hydrothermal Surface Engineering
1167Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
1168Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
1169Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
1170Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
1171Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
1172Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
1173Plasma enhanced atomic layer deposition and laser plasma deposition of ultra-thin ZnO films for Schottky barrier devices
1174Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
1175(Invited) Characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 Capacitors
1176Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
1177Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
1178Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
1179Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer
1180Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
1181Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
1182Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
1183Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
1184On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
1185Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
1186Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
1187Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
1188Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
1189Effect of deposition conditions and composition on band offsets in atomic layer deposited HfxSi1-xOy on InGaZnO4
1190The effects of layering in ferroelectric Si-doped HfO2 thin films
1191Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
1192Composite materials and nanoporous thin layers made by atomic layer deposition
1193Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
1194Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
1195Energy-enhanced atomic layer deposition for more process and precursor versatility
1196Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
1197Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
1198Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
1199Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
1200Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
1201Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
1202Radical Enhanced Atomic Layer Deposition of Metals and Oxides
1203Anti-stiction coating for mechanically tunable photonic crystal devices
1204Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
1205Materials Pushing the Application Limits of Wire Grid Polarizers further into the Deep Ultraviolet Spectral Range
1206Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
1207Spectroscopic and electrical calculation of band alignment between atomic layer deposited SiO2 and β-Ga2O3 (2̅01)
1208Annealing Effects on the Band Alignment of ALD SiO2 on (InxGa1-x)2O3 for x = 0.25-0.74
1209Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
1210Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
1211Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
1212Nanometer-Thick Conformal Pore Sealing of Self-Assembled Mesoporous Silica by Plasma-Assisted Atomic Layer Deposition
1213Effects of rapid thermal annealing on the properties of HfO2/La2O3 nanolaminate films deposited by plasma enhanced atomic layer deposition
1214Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
1215The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
1216Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition
1217Titanium oxynitride films for surface passivation of crystalline silicon deposited by plasma-enhanced atomic layer deposition to improve electrical conductivity
1218Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
1219Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
1220Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
1221Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
1222Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
1223Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
1224Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
1225Surface and sensing properties of PE-ALD SnO2 thin film
1226Ion energy control during plasma-enhanced atomic layer deposition: enabling materials control and selective processing in the third dimension
1227Irradiation effects of graphene-enhanced gallium nitride (GaN) metal-semiconductor-metal (MSM) ultraviolet photodetectors
1228Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
1229Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
1230Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
1231Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions
1232Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
1233Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
1234Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
1235Atomic Layer Deposition of the Conductive Delafossite PtCoO2
1236Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
1237Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
1238Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition