NH3, Ammonia, CAS# 7664-41-7

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 274 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
2Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
3Potassium Permanganate-Based Slurry to Reduce the Galvanic Corrosion of the Cu/Ru/TiN Barrier Liner Stack during CMP in the BEOL Interconnects
4Atomic layer deposition of GaN at low temperatures
5Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
6Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design
7Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition
8Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
9Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
10In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
11Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
12Electrical and structural properties of conductive nitride films grown by plasma enhanced atomic layer deposition with significant ion bombardment effect
13High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
14Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
15Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition
16Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
17Analysis of titanium species in titanium oxynitride films prepared by plasma enhanced atomic layer deposition
18Texture of atomic layer deposited ruthenium
19Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
20Ru thin film grown on TaN by plasma enhanced atomic layer deposition
21Tribological properties of thin films made by atomic layer deposition sliding against silicon
22Texture of atomic layer deposited ruthenium
23Plasma Enhanced Atomic Layer Deposition of TaN Films for Advanced Interconnects
24Plasma-enhanced atomic layer deposition of tungsten nitride
25Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
26Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
27Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
28Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer
29Properties of atomic-layer-deposited ultra-thin AlN films on GaAs surfaces
30Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions
31Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
32A comparative study on electrical characteristics of crystalline AlN thin films deposited by ICP and HCPA-sourced atomic layer deposition
33Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
34Pulse plasma assisted atomic layer deposition of W–C–N thin films for Cu interconnects
35Method to enhance atomic-layer deposition of tungsten-nitride diffusion barrier for Cu interconnect
36Growth mechanism of Co thin films formed by plasma-enhanced atomic layer deposition using NH3 as plasma reactant
37Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
38A Silicon Nitride MIM Capacitor for Analog/Mixed-Signal Integrated Circuit using Manufacturable Atomic Layer Deposition Equipment
39The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
40Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
41Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
42Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
43Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
44Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate
45Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
46The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
47Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
48Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
49TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
50Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
51Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
52Challenges in atomic layer deposition of carbon-containing silicon-based dielectrics
53PEALD of a Ruthenium Adhesion Layer for Copper Interconnects
54The Properties of Cu Thin Films on Ru Depending on the ALD Temperature
55Formation of aluminum nitride thin films as gate dielectrics on Si(100)
56Atomic layer controlled deposition of silicon nitride with self-limiting mechanism
57P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
58TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
59Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
60Large-scale synthesis of uniform hexagonal boron nitride films by plasma-enhanced atomic layer deposition
61Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
62Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
63Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
64Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
65Self-Limiting Growth of GaN at Low Temperatures
66Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
67In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
68In Situ Activation of an Indium(III) Triazenide Precursor for Epitaxial Growth of Indium Nitride by Atomic Layer Deposition
69Multistep atomic layer deposition process for ultrathin superconducting NbN films with high critical current density on amorphous substrate
70Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
71Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
72Reactivity of different surface sites with silicon chlorides during atomic layer deposition of silicon nitride
73Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor
74Protective capping and surface passivation of III-V nanowires by atomic layer deposition
75Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
76Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
77Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
78Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
79Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
80Reactions of ruthenium cyclopentadienyl precursor in the metal precursor pulse of Ru atomic layer deposition
81In situ dry cleaning of Si wafer using OF2/NH3 remote plasma with low global warming potential
82Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
83Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
84Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
85Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
86Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
87Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
88Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
89Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
90Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
91Enhanced electrical and reliability characteristics in HfON gated Ge p-MOSFETs with H2 and NH3 plasma treated interfacial layers
92Improved Interface and Transport Properties of AlGaN/GaN MIS-HEMTs With PEALD-Grown AlN Gate Dielectric
93Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
94Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
95Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
96Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
97Pulse plasma assisted atomic layer deposition of W–C–N thin films for Cu interconnects
98Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
99Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
100Atomic Layer Epitaxy of Group IV Materials: Surface Processes, Thin Films, Devices and Their Characterization
101Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
102Tribological properties of thin films made by atomic layer deposition sliding against silicon
103The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
104Measurements and modeling of the impact of radical recombination on silicon nitride growth in microwave plasma assisted atomic layer deposition
105Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
106Direct epitaxial nanometer-thin InN of high structural quality on 4H-SiC by atomic layer deposition
107A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
108Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
109Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride
110Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
111Correlation of carbon content with the thermal stability of ruthenium deposited by using RF-direct plasma-enhanced atomic-layer deposition
112Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride
113Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
114Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
115Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
116NiCO2O4@TiN Core-shell Electrodes through Conformal Atomic Layer Deposition for All-solid-state Supercapacitors
117The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
118Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
119Infrared study on low temperature atomic layer deposition of GaN using trimethylgallium and plasma-excited ammonia
120Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
121Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
122Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
123Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
124Effects of NH3 pulse plasma on atomic layer deposition of tungsten nitride diffusion barrier
125Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
126Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
127Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
128Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
129Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
130Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
131Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
132Protective capping and surface passivation of III-V nanowires by atomic layer deposition
133P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
134Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
135Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma
136Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
137Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
138High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning
139Degradation of the deposition blocking layer during area-selective plasma-enhanced atomic layer deposition of cobalt
140Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
141Potassium Permanganate-Based Slurry to Reduce the Galvanic Corrosion of the Cu/Ru/TiN Barrier Liner Stack during CMP in the BEOL Interconnects
142Formation of Ni silicide from atomic layer deposited Ni
143Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
144Evaluation of Stress Induced by Plasma Assisted ALD SiN Film
145Surface passivation of GaAs nanowires by the atomic layer deposition of AlN
146Ru thin film grown on TaN by plasma enhanced atomic layer deposition
147A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
148Plasma-enhanced atomic layer deposition of nickel thin film using bis(1,4-diisopropyl-1,4-diazabutadiene)nickel
149Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
150Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
151Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
152Plasma-enhanced ALD system for SRF cavity
153Aluminum Nitride Transition Layer for Power Electronics Applications Grown by Plasma-Enhanced Atomic Layer Deposition
154Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
155In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
156Nitride passivation of the interface between high-k dielectrics and SiGe
157Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based Plasmas: On the Role of the Co-reactant
158Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
159Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
160Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
161Plasma enhanced atomic layer deposited platinum thin film on Si substrate with TMA pretreatment
162Challenges in spacer process development for leading-edge high-k metal gate technology
163Electron Transport Across Ultrathin Ferroelectric Hf0.5Zr0.5O2 Films on Si
164Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
165Carbon nanotube-supported Cu3N nanocrystals as a highly active catalyst for oxygen reduction reaction
166A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
167Preparation of Lithium Containing Oxides by the Solid State Reaction of Atomic Layer Deposited Thin Films
168Impact of nitrogen depth profiles on the electrical properties of crystalline high-K gate dielectrics
169Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
170WNx Film Prepared by Atomic Layer Deposition using F-Free BTBMW and NH3 Plasma Radical for ULSI Applications
171Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
172Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
173Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition
174Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
175Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
176The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer
177The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
178Layer-by-layer epitaxial growth of GaN at low temperatures
179Crystalline growth of AlN thin films by atomic layer deposition
180Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
181Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs
182Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
183Comparison of ammonia plasma and AlN passivation by plasma-enhanced atomic layer deposition
184Properties of AlN grown by plasma enhanced atomic layer deposition
185Atomic Layer Deposition of Aluminum Nitride Thin films from Trimethyl Aluminum (TMA) and Ammonia
186Atomic layer deposition of titanium nitride from TDMAT precursor
187Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application
188Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
189TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
190Plasma-Assisted Atomic Layer Deposition of High-Density Ni Nanoparticles for Amorphous In-Ga-Zn-O Thin Film Transistor Memory
191Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
192Effect of the substrate on structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
193Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
194Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant
195High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
196A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
197Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
198Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
199AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
200Effect of Film Thickness on the Electrical Properties of AlN Films Prepared by Plasma-Enhanced Atomic Layer Deposition
201Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
202Impact of AlN Interfacial Dipole on Effective Work Function of Ni and Band Alignment of Ni/HfO2/In0.53Ga0.47As Gate-Stack
203Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition
204Characterization of Ultrathin PEALD-Grown RuCo Films for Diffusion Barrier and Copper Direct-Plate Applications
205Atomic Layer Deposition of SiN for spacer applications in high-end logic devices
206Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
207Photoelectrochemical hydrogen production on silicon microwire arrays overlaid with ultrathin titanium nitride
208Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
209Tribological properties of thin films made by atomic layer deposition sliding against silicon
210Analysis of nitrogen species in titanium oxynitride ALD films
211Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
212Remote Plasma-Enhanced Atomic-Layer Deposition of TiN by Using TDMAT with a NH3 Plasma
213Structural and electrical properties of AlN thin films on GaN substrates grown by plasma enhanced-Atomic Layer Deposition
214Plasma-Enhanced Atomic Layer Deposition of Ni
215A New Pulse Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect
216Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
217Reaction Mechanism of the Metal Precursor Pulse in Plasma-Enhanced Atomic Layer Deposition of Cobalt and the Role of Surface Facets
218The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
219Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
220In-gap states in titanium dioxide and oxynitride atomic layer deposited films
221Protective capping and surface passivation of III-V nanowires by atomic layer deposition
222Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
223Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
224GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
225PEALD AlN: controlling growth and film crystallinity
226Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3
227Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
228Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
229Silicon surface passivation with atomic layer deposited aluminum nitride
230Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
231Trilayer Tunnel Selectors for Memristor Memory Cells
232A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
233Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition
234Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
235Atomic layer deposition of InN using trimethylindium and ammonia plasma
236Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
237Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
238Formation of Ru nanocrystals by plasma enhanced atomic layer deposition for nonvolatile memory applications
239Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
240823-mA/mm Drain Current Density and 945-MW/cm2 Baliga's Figure-of-Merit Enhancement-Mode GaN MISFETs With a Novel PEALD-AlN/LPCVD-Si3N4 Dual-Gate Dielectric
241Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
242Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
243Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
244Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
245Properties of conductive nitride films prepared by plasma enhanced atomic layer deposition using quartz and sapphire plasma sources
246Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
247Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
248Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
249Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
250Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
251Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes
252Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3
253Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
254P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
255Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
256Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
257Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
258Temperature-controlled atomic layer deposition of GaN using plasma-excited nitrogen source
259Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
260Improvement in electrical characteristics of HfO2 gate dielectrics treated by remote NH3 plasma
261Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
262AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs