H2O, Water, CAS# 7732-18-5

Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for publications using this chemistry returned 344 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
2Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
3Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
4Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
5Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
6AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
7P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
8Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
9In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
10Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
11Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
12Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
13Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
14Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
15Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
16Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
17The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
18Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
19Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
20Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
21Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
22Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
23On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
24Initiation of atomic layer deposition of metal oxides on polymer substrates by water plasma pretreatment
25Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
26AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
27Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
28Structural, optical, electrical and resistive switching properties of ZnO thin films deposited by thermal and plasma-enhanced atomic layer deposition
29Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
30Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
31Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
32Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
33Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
34Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
35Improved understanding of recombination at the Si/Al2O3 interface
36Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
37Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
38Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
39A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
40Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
41Breakdown and Protection of ALD Moisture Barrier Thin Films
42Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
43Area-Selective Atomic Layer Deposition of In2O3:H Using a µ-Plasma Printer for Local Area Activation
44Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
45Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
46Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
47Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
48Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
49Low temperature temporal and spatial atomic layer deposition of TiO2 films
50Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
51Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
52Fast Flexible Plastic Substrate ZnO Circuits
53Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
54Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
55Gate Insulator for High Mobility Oxide TFT
56Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
57Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
58Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
59Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
60Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
61Hydrophobicity of Rare Earth Oxides Grown by Atomic Layer Deposition
62Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
63Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
64Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
65Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
66Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
67Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
68Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
69Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
70Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
71High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
72Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
73Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
74Trapped charge densities in Al2O3-based silicon surface passivation layers
75Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
76Infrared study on room-temperature atomic layer deposition of HfO2 using tetrakis(ethylmethylamino)hafnium and remote plasma-excited oxidizing agents
77Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
78Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
79Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
80Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
81Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
82P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
83Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
84Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
85Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
86Mass Spectrometry Study of Li2CO3 Film Growth by Thermal and Plasma-Assisted Atomic Layer Deposition
87Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
88Nitride passivation of the interface between high-k dielectrics and SiGe
89Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
90Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
91Infrared Study on Room-temperature Atomic Layer Deposition of TiO2 Using Tetrakis(dimethylamino)titanium and Remote-Plasma Excited Water Vapor
92Reaction mechanism of room temperature HfO2 atomic layer deposition using remote plasma excited water and oxygen
93Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
94Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
95Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
96Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
97Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
98Fundamental reaction of RT gallium oxide atomic layer deposition investigated by IR absorption spectroscopy
99Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
100Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
101Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
102Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
103RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
104Single-Cell Photonic Nanocavity Probes
105Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
106Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
107Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
108AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
109Room-temperature atomic layer deposition of ZrO2 using tetrakis(ethylmethylamino)zirconium and plasma-excited humidified argon
110Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
111The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
112Breakdown and Protection of ALD Moisture Barrier Thin Films
113Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
114Hafnia and alumina on sulphur passivated germanium
115Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
116The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition
117Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
118P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
119Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
120Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties
121Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
122Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
123The Sandwich Structure of Ga-Doped ZnO Thin Films Grown via H2O-, O2-, and O3-Based Atomic Layer Deposition
124Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
125Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
126A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
127Synaptic Plasticity and Learning Behaviors Mimicked in Single Inorganic Synapses of Pt/HfOx/ZnOx/TiN Memristive System
128Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
129Fiber-matrix interface reinforcement using Atomic Layer Deposition
130Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
131Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
132Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
133AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
134Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
135Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
136Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
137Protective capping and surface passivation of III-V nanowires by atomic layer deposition
138Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
139Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
140Comparison between ZnO films grown by plasma-assisted atomic layer deposition using H2O plasma and O2 plasma as oxidant
141Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma
142Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
143Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
144Atomic Layer Densification of AlN Passivation Layer on Epitaxial Ge for Enhancement of Reliability and Electrical Performance of High-K Gate Stacks
145Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
146Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
147Plasma activation and atomic layer deposition of TiO2 on polypropylene membranes for improved performances of lithium-ion batteries
148Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
149Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
150Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
151Trapped charge densities in Al2O3-based silicon surface passivation layers
152Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
153Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
154Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition
155Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition
156Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
157Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
158Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
159Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
160Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
161Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
162Single-Cell Photonic Nanocavity Probes
163Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
164Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
165A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
166Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
167Pt/Ta2O5/HfO2-x/Ti Resistive Switching Memory Competing with Multilevel NAND Flash
168Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
169Room temperature plasma enhanced atomic layer deposition for TiO2 and WO3 films
170Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
171A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
172Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
173Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
174Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
175A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
176In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
177Symmetrical Al2O3-based passivation layers for p- and n-type silicon
178Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
179Protective capping and surface passivation of III-V nanowires by atomic layer deposition
180Plasma enhanced atomic layer deposition of Ga2O3 thin films
181In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
182X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
183Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
184Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
185Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
186Symmetrical Al2O3-based passivation layers for p- and n-type silicon
187Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
188Atomic-layer-deposited silver and dielectric nanostructures for plasmonic enhancement of Raman scattering from nanoscale ultrathin films
189Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
190Tribological properties of thin films made by atomic layer deposition sliding against silicon
191Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
192Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
193The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
194Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
195Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
196Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
197Atomic Layer Deposition of Gold Metal
198Hafnia and alumina on sulphur passivated germanium
199Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
200Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
201Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
202Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
203RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
204Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
205Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
206Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
207Atomic layer deposition of metal-oxide thin films on cellulose fibers
208RT Ga2O3 atomic layer deposition by using trimethylgallium and water-oxygen plasma
209An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
210The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
211Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
212Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
213Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
214Three dimensional ALD of TiO2 for in-vivo biomedical sensor applications
215Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current
216Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
217Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
218Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
219AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
220On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
221New approach toward transparent and conductive ZnO by atomic layer deposition: Hydrogen plasma doping
222Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
223High quality HfO2/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8nm equivalent oxide thickness
224Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
225Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
226Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
227Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2
228A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
229Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
230Passivation effects of atomic-layer-deposited aluminum oxide
231Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
232Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
233Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
234Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
235Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
236Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
237Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
238Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
239Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
240Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors
241Growth of controllable ZnO film by atomic layer deposition technique via inductively coupled plasma treatment
242In situ dry cleaning of Si wafer using OF2/NH3 remote plasma with low global warming potential
243Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
244Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
245Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
246Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
247High-k GaAs metal insulator semiconductor capacitors passivated by ex-situ plasma-enhanced atomic layer deposited AlN for Fermi-level unpinning
248Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
249P-type Conductivity of MgZnO:(N:Ga) Thin Films Prepared by Remote Plasma In-Situ Atomic Layer Doping
250Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
251Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
252Characteristics of TiO2 Films Prepared by ALD With and Without Plasma
253In-gap states in titanium dioxide and oxynitride atomic layer deposited films
254Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
255The Effects of an O2 Plasma on the Optical Properties of Atomic Layer Deposited ZnO
256Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
257Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
258Encapsulation method for atom probe tomography analysis of nanoparticles
259Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
260Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
261AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
262Tris(dimethylamido)aluminum(III): An overlooked atomic layer deposition precursor
263Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
264Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
265In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
266Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
267Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
268Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
269In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
270Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
271A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
272Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
273Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
274Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
275Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
276Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
277Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
278Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
279Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
280Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
281Atomic Layer Deposition of the Solid Electrolyte LiPON
282Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
283Nonhomogeneous spatial distribution of filamentary leakage current paths in circular area Pt/HfO2/Pt capacitors
284Experimental verification of electro-refractive phase modulation in graphene
285Ultra low density of interfacial traps with mixed thermal and plasma enhanced ALD of high-k gate dielectrics
286Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
287Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
288Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
289Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
290High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
291Atomic layer deposition of high-mobility hydrogen-doped zinc oxide
292Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
293Enhanced electrical and reliability characteristics in HfON gated Ge p-MOSFETs with H2 and NH3 plasma treated interfacial layers
294Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
295Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
296Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
297Oxide semiconductor thin film transistors on thin solution-cast flexible substrates
298Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
299Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
300Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma
301Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
302Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
303Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
304Efficient Catalytic Microreactors with Atomic-Layer-Deposited Platinum Nanoparticles on Oxide Support
305MANOS performance dependence on ALD Al2O3 oxidation source
306Room temperature atomic layer deposition of TiO2 on gold nanoparticles
307Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices
308Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
309Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
310Plasma enhanced atomic layer deposition of thin film Li1+xMn2-xO4 for realization of all solid-state 3D lithium-ion microbatteries
311Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
312Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
313Resistive switching in HfO2-based atomic layer deposition grown metal-insulator-metal structures
314In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
315Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
316Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
317AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
318A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
319Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: the influence of O2 plasma power, precursor chemistry and plasma exposure mode
320Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
321Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
322Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
323Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
324Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
325Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
326Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
327Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
328Performance enhancement of InAsSb QW-MOSFETs with in-situ H2 plasma cleaning for gate stack formation
329Effect of Process Parameters on Remote PEALD for Highly Transparent ZnO Film Growth
330Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
331Optical Properties of HfO2 Thin Films Grown by Atomic Layer Deposition
332Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
333Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
334Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous Transmittance, Solar Modulation
335Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
336Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
337Tribological properties of thin films made by atomic layer deposition sliding against silicon
338Electrical and physical characteristics of high-k gated MOSFETs with in-situ H2O and O2 plasma formed interfacial layer
339Effect of in situ hydrogen plasma on the ferroelectricity of hafnium zirconium oxide films
340Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
341Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
342Investigation and optimization of HfO2 gate dielectric on N-polar GaN: Impact of surface treatments, deposition, and annealing conditions