Al2O3 Plasma Enhanced Atomic Layer Deposition Film Publications

Your search for plasma enhanced atomic layer deposition publications discussing Al2O3 films returned 478 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
2Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
3Analog/RF Study of Self-aligned In0.53Ga0.47As MOSFET with Scaled Gate Length
4Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
5Highly transparent low capacitance plasma enhanced atomic layer deposition Al2O3-HfO2 tunnel junction engineering
6Comparative study on interface and bulk charges in AlGaN/GaN metal-insulator-semiconductor heterostructures with Al2O3, AlN, and Al2O3/AlN laminated dielectrics
7Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
8Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
9Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes
10Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
11Interface effect on dielectric constant of HfO2/Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition
12Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure
13Organic thin-film transistors with sub-10-micrometer channel length with printed polymer/carbon nanotube electrodes
14Light response behaviors of amorphous In-Ga-Zn-O thin-film transistors via in situ interfacial hydrogen doping modulation
15High-Reflective Coatings For Ground and Space Based Applications
16Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
17Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
18Influence of Al2O3 Gate Dielectric on Transistor Properties for IGZO Thin Film Transistor
19Surface passivation of phosphorus-diffused n+-type emitters by plasma-assisted atomic-layer deposited Al2O3
20A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer
21Band alignment and electrical properties of Al2O3/β-Ga2O3 heterojunctions
22Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
23Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells
24Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon
25Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
26Atomic layer deposition of metal-oxide thin films on cellulose fibers
27Hafnia and alumina on sulphur passivated germanium
28Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer
29Double nitridation of crystalline ZrO2/Al2O3 buffer gate stack with high capacitance, low leakage and improved thermal stability
30Performance of AlGaN/GaN MISHFET using dual-purpose thin Al2O3 layer for surface protection and gate insulator
31Capacitance spectroscopy of gate-defined electronic lattices
32Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition
33Integration of microwave-annealed oxidation on germanium metal-oxide-semiconductor devices
34Effects of surface nature of different semiconductor substrates on the plasma enhanced atomic layer deposition growth of Al2O3 gate dielectric thin films
35Toward Understanding Positive Bias Temperature Instability in Fully Recessed-Gate GaN MISFETs
36SiNx passivated GaN HEMT by plasma enhanced atomic layer deposition
37Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
38Enhancement-mode AlGaN/GaN MIS-HEMTs with low threshold voltage hysteresis using damage-free neutral beam etched gate recess
39Enhanced surface passivation of epitaxially grown emitters for high-efficiency ultrathin crystalline Si solar cells
40Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils
41Ultrathin Surface Coating Enables the Stable Sodium Metal Anode
42An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
43Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
44A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
45Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25°C<T<200°C
46Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides
47Charge effects of ultrafine FET with nanodot type floating gate
48DC characteristics of ALD-grown Al2O3/AlGaN/GaN MIS-HEMTs and HEMTs at 600°C in air
49Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors
50On the equilibrium concentration of boron-oxygen defects in crystalline silicon
51Symmetrical Al2O3-based passivation layers for p- and n-type silicon
52Enhanced electrochemical performance of surface-treated Li[Ni0.8Co0.1Mn0.1]O2 cathode material for lithium-ion batteries
53Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide
54Nitride passivation of the interface between high-k dielectrics and SiGe
55Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
56Antireflection Coatings for Strongly Curved Glass Lenses by Atomic Layer Deposition
57Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
58Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
59Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
60Surface Reaction Mechanisms during Ozone and Oxygen Plasma Assisted Atomic Layer Deposition of Aluminum Oxide
61Vertical Ge and GeSn heterojunction gate-all-around tunneling field effect transistors
62Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
63Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
64A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
65Defect-sealing of Al2O3/ZrO2 multilayer for barrier coating by plasma-enhanced atomic layer deposition process
66Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
67Effect of Al2O3 Buffer Layers on the Properties of Sputtered VO2 Thin Films
68Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study
69Detection of Streptavidin-Biotin Complexes Using a Highly Sensitive AlGaN/GaN-Based Extended-Gate MISHEMT-Type Biosensor
70Fixed-Gap Tunnel Junction for Reading DNA Nucleotides
71Fiber-matrix interface reinforcement using Atomic Layer Deposition
72Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200°C
73Tuning the nanoscale morphology and optical properties of porous gold nanoparticles by surface passivation and annealing
74Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment
75On the determination of χ(2) in thin films: a comparison of one-beam second-harmonic generation measurement methodologies
76MANOS performance dependence on ALD Al2O3 oxidation source
77High-efficiency embedded transmission grating
78High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
79Initiation of atomic layer deposition of metal oxides on polymer substrates by water plasma pretreatment
80CMOS-compatible Replacement Metal Gate InGaAs-OI FinFET With ION= 156 μA/μm at VDD= 0.5 V and IOFF= 100 nA/μm
81Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
82Densification of Thin Aluminum Oxide Films by Thermal Treatments
83On the composition of luminescence spectra from heavily doped p-type silicon under low and high excitation
84Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
85Enhancement of reliability and stability for transparent amorphous indium-zinc-tin-oxide thin film transistors
86High aspect ratio iridescent three-dimensional metal-insulator-metal capacitors using atomic layer deposition
87Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
88Passivation effects of atomic-layer-deposited aluminum oxide
89Graphene-based MMIC process development and RF passives design
90Kinetics of the permanent deactivation of the boron-oxygen complex in crystalline silicon as a function of illumination intensity
91Surface treatments on AlGaN/GaN heterostructures for gate dielectric Al2O3 thin films grown by Atomic Layer Deposition
92Plasma-Enhanced Atomic Layer Deposition of Al2O3 on Graphene Using Monolayer hBN as Interfacial Layer
93Insulator-protected mechanically controlled break junctions for measuring single-molecule conductance in aqueous environments
94Thermal Versus Plasma-Enhanced ALD: Growth Kinetics and Conformality
95Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
96Mechanical and electrical properties of plasma and thermal atomic layer deposited Al2O3 films on GaAs and Si
97Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition
98Lifetimes exceeding 1ms in 1-Ohm-cm boron-doped Cz-silicon
99TiO2/Al2O3/TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition
100Advances in the fabrication of graphene transistors on flexible substrates
101Influence of the charge trap density distribution in a gate insulator on the positive-bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors
102Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
103Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
104Wet Chemical Oxidation to Improve Interfacial Properties of Al2O3/Si and Interface Analysis of Al2O3/SiOx/Si Structure Using Surface Carrier Lifetime Simulation and Capacitance-Voltage Measurement
105Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing
106A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
107Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
108Influence of magnetic field on the reaction mechanisms of plasma-assisted atomic layer deposition of Al2O3
109Unexpectedly High Minority-Carrier Lifetimes Exceeding 20 ms Measured on 1.4-Ohm cm n-Type Silicon Wafers
110Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition
111Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
112Gas permeation barriers deposited by atmospheric pressure plasma enhanced atomic layer deposition
113Influence of infrared radiation on the electrical characteristics of the surface-barrier nanostructures based on MBE HgCdTe
114Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
115Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces
116Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
117Influence of Pre and Post-treatments on Plasma Enhanced ALD SiO2 and Al2O3 layers on GaN
118Surface-enhanced gallium arsenide photonic resonator with a quality factor of six million
119Low-thermal budget flash light annealing for Al2O3 surface passivation
120Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates
121Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
122Metal-oxide-based hole-selective tunneling contacts for crystalline silicon solar cells
123A wearable multiplexed silicon nonvolatile memory array using nanocrystal charge confinement
124Tuning the Ge(Sn) Tunneling FET: Influence of Drain Doping, Short Channel, and Sn Content
125Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction
126Smart Surface for Elution of Protein-Protein Bound Particles: Nanonewton Dielectrophoretic Forces Using Atomic Layer Deposited Oxides
127A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
128Electron-selective contacts via ultra-thin organic interface dipoles for silicon organic heterojunction solar cells
129Aluminum oxide – n-Si field effect inversion layer solar cells with organic top contact
130Improving Thermal Stability and Interface State Density of High-k Stacks by Incorporating Hf into an Interfacial Layer on p-Germanium
131Advanced thin gas barriers film incorporating alternating structure of PEALD-based Al2O3/organic-inorganic nanohybrid layers
1321D versus 3D quantum confinement in 1-5 nm ZnO nanoparticle agglomerations for application in charge-trapping memory devices
133Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
13446-2: Multi-Level-Pressure Touch Sensors with P(VDF-TrFE) Deposited on Metal Oxide Thin Film Transistor
135A scaled replacement metal gate InGaAs-on-Insulator n-FinFET on Si with record performance
136Stretchable Carbon Nanotube Charge-Trap Floating-Gate Memory and Logic Devices for Wearable Electronics
137Advanced surface passivation of epitaxial boron emitters for high-efficiency ultrathin crystalline silicon solar cells
138Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate
139Room-Temperature ALD of Metal Oxide Thin Films by Energy-Enhanced ALD
140Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems
141Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition
142Laminated Al2O3-HfO2 layers grown by atomic layer deposition for microelectronics applications
143Simple silicon solar cells featuring an a-Si:H enhanced rear MIS contact
144Chemical Protection of Polycarbonate Surfaces by Atomic Layer Deposition of Alumina with Oxygen Plasma Pretreatment
145The Applications of Ultra-Thin Nanofilm for Aerospace Advanced Manufacturing Technology
146Electronic Conduction Mechanisms in Insulators
147Fluorinated polymer-grafted organic dielectrics for organic field-effect transistors with low-voltage and electrical stability
148Nanotextured surfaces for surface enhanced Raman spectroscopy and sensors
149Dynamic tuning of plasmon resonance in the visible using graphene
150A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor
151Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
152Fabrication and Characterization of Flexible Thin Film Transistors on Thin Solution-Cast Substrates
153Corrosion barriers for silver-based telescope mirrors: comparative study of plasma-enhanced atomic layer deposition and reactive evaporation of aluminum oxide
154Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
155Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides: A case study for Al2O3
156Minority carrier lifetime limitations in Si wafer solar cells with gallium phosphide window layers
157Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
158Toward reliable MIS- and MOS-gate structures for GaN lateral power devices
159Oxide semiconductor thin film transistors on thin solution-cast flexible substrates
160Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
161Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
162Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
163Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
164Suppression of GeOx interfacial layer and enhancement of the electrical performance of the high-K gate stack by the atomic-layer-deposited AlN buffer layer on Ge metal-oxide-semiconductor devices
165Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes
166Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
167Plasma enhanced atomic layer deposition of Al2O3 gate dielectric thin films on AlGaN/GaN substrates: The role of surface predeposition treatments
168Dynamic threshold voltage influence on Ge pMOSFET hysteresis
169Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
170Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor-insulator-semiconductor heterojunction solar cell
171Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
172Damage free Ar ion plasma surface treatment on In0.53Ga0.47As-on-silicon metal-oxide-semiconductor device
173Antireflection Coating on PMMA Substrates by Atomic Layer Deposition
174Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
175The Influence of Ions and Photons during Plasma-Assisted ALD of Metal Oxides
176Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
177Effects of Surface Passivation and Deposition Methods on the 1/f Noise Performance of AlInN/AlN/GaN High Electron Mobility Transistors
178Tris(dimethylamido)aluminum(III): An overlooked atomic layer deposition precursor
179High rate roll to roll atomic layer deposition, and its application to moisture barriers on polymer films
180Trapped charge densities in Al2O3-based silicon surface passivation layers
181Micro-Raman Spectroscopy for Monitoring of Deposition Quality of High-k Stack Protective Layer onto Nanowire FET Chips for Highly Sensitive miRNA Detection
182Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides
183Modeling of positional plasma characteristics by inserting body tube of optical emission spectroscopy for plasma assisted atomic layer deposition system
184Role of the (Ta/Nb)Ox/Al2O3 interface on the flatband voltage shift for Al2O3/(Ta/Nb)Ox/Al2O3 multilayer charge trap capacitors
185Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
186Self-aligned ZnO thin-film transistors with 860 MHz fT and 2 GHz fmax for large-area applications
187Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
188High Performance and Low power Monolithic Three-Dimensional Sub-50 nm Poly Si Thin film transistor (TFTs) Circuits
189Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
190Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
191Improved Electrical Properties of Crystalline ZrO2/Al2O3 Buffer Gate Stack with Double Nitridation
192AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers
193Field-Plated Ga2O3 MOSFETs With a Breakdown Voltage of Over 750 V
194Trapping and reliability issues in GaN-based MIS HEMTs with partially recessed gate
195Performance and retention characteristics of nanocrystalline Si floating gate memory with an Al2O3 tunnel layer fabricated by plasma-enhanced atomic layer deposition
196Innovative remote plasma source for atomic layer deposition for GaN devices
197Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
198Al2O3/TiO2 multilayer thin films grown by plasma enhanced atomic layer deposition for organic light-emitting diode passivation
199Localized defect states and charge trapping in atomic layer deposited-Al2O3 films
200Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
201Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment
202Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices
203'Zero-charge' SiO2/Al2O3 stacks for the simultaneous passivation of n+ and p+ doped silicon surfaces by atomic layer deposition
204Theoretical and Experimental Investigation of Graphene/High-κ/p-Si Junctions
205Possible Candidates for Impurities in mc-Si Wafers Responsible for Light-Induced Lifetime Degradation and Regeneration
206Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
207Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
208Improvement on the Passivation Effect of Al2O3 Layer Deposited by PA-ALD in Crystalline Silicon Solar Cells
209Investigation of field-effect passivation and interface state parameters at the Al2O3/Si interface
210In0.53Ga0.47As FinFET and GAA-FET With Remote-Plasma Treatment
211Simultaneous Roll Transfer and Interconnection of Flexible Silicon NAND Flash Memory
212Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications
213Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
214Al2O3 multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition
215Optical in situ monitoring of plasma-enhanced atomic layer deposition process
216Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
217PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
218Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
219Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
220Impurity Gettering by Atomic-Layer-Deposited Aluminium Oxide Films on Silicon at Contact Firing Temperatures
221Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
222Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
223Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3
224Atomic Layer Deposition of Al2O3 Thin Films for Metal Insulator Semiconductor Applications on 4H-SiC
225Plasma-assisted atomic layer deposition of nanolaminates for gate dielectric applications
226Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structure
227Electrical Measurement Under Atmospheric Conditons of PbSe Nanocrystal Thin Films Passivated by Remote Plasma Atomic Layer Deposition of Al2O3
228Direct measurement of coherent phonon dynamics in solution-processed stibnite thin films
229Damage evaluation in graphene underlying atomic layer deposition dielectrics
230Lithium-Iron (III) Fluoride Battery with Double Surface Protection
231Capacitance-voltage characteristics of gamma irradiated Al2O3, HfO2, and SiO2 thin films grown by plasma-enhanced atomic layer deposition
232Improved understanding of recombination at the Si/Al2O3 interface
233Film Conformality and Extracted Recombination Probabilities of O Atoms during Plasma-Assisted Atomic Layer Deposition of SiO2, TiO2, Al2O3, and HfO2
234Organic narrowband near-infrared photodetectors based on intermolecular charge-transfer absorption
235Effect of NH3 plasma passivation on the electrical characteristics of a nanolaminated ALD HfAlO on InGaAs MOS capacitor
236Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone
237In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
238Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure
239Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
240Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
241Gate Insulator for High Mobility Oxide TFT
242Anti-stiction coating for mechanically tunable photonic crystal devices
243Ultra-thin Al2O3 coating on the acid-treated 0.3Li2MnO3·0.7LiMn0.60Ni0.25Co0.15O2 electrode for Li-ion batteries
244On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
245Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
246Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
247Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
248Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
249Energy-enhanced atomic layer deposition for more process and precursor versatility
250In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3
251Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
252First principles mechanistic study of self-limiting oxidative adsorption of remote oxygen plasma during the atomic layer deposition of alumina
253Excellent Si surface passivation by low temperature SiO2 using an ultrathin Al2O3 capping film
254Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
255Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
256The Mechanical Behavior of ALD-Polymer Hybrid Films Under Tensile Strain
257Nanoporous SiO2 thin films made by atomic layer deposition and atomic etching
258Lytic enzymes as selectivity means for label-free, microfluidic and impedimetric detection of whole-cell bacteria using ALD-Al2O3 passivated microelectrodes
259Toward an integrated device for spatiotemporal superposition of free-electron lasers and laser pulses
260Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
261Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
262Detailed Atomistic Modeling of Si(110) Passivation by Atomic Layer Deposition of Al2O3
263Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
264Experimental verification of electro-refractive phase modulation in graphene
265DFT modeling of plasma-assisted atomic layer deposition for Si(110) passivation: formation of boehmite-like chains as γ-Al2O3 precursors
266Epitaxially grown crystalline Al2O3 interlayer on β-Ga2O3 (010) and its suppressed interface state density
267Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se2 solar cells
268Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
269Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
270Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
271Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
272Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
273Lifetime improvement of micro-fabricated alkali vapor cells by atomic layer deposited wall coatings
274Band alignment of Al2O3 with (-201) β-Ga2O3
275Investigation of a Two-Layer Gate Insulator Using Plasma-Enhanced ALD for Ultralow Temperature Poly-Si TFTs
276Characterizations of Al2O3 gate dielectric deposited on n-GaN by plasma-assisted atomic layer deposition
277Effect of Hydrogen in Gate Insulator on NBIS Performance of Oxide Thin Film Transistor
278Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride
279Light-induced activation and deactivation of bulk defects in boron-doped float-zone silicon
280RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
281Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
282Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
283Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
284AxBAxB... pulsed atomic layer deposition: Numerical growth model and experiments
285Evaluating the Impact of Thermal Annealing on Al2O3/c-Si Interface Properties by Non-Destructive Measurements
286Structural and Electrical Analysis of Thin Interface Control Layers of MgO or Al2O3 Deposited by Atomic Layer Deposition and Incorporated at the high-k/III-V Interface of MO2/InxGa1-xAs (M = Hf|Zr, x = 0|0.53) Gate Stacks
287Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
288ALD Protection of Li-Metal Anode Surfaces - Quantifying and Preventing Chemical and Electrochemical Corrosion in Organic Solvent
289Oxide TFT LC Oscillators on Glass and Plastic for Wireless Functions in Large-Area Flexible Electronic Systems
290Plasma Processing for Crystallization and Densification of Atomic Layer Deposition BaTiO3 Thin Films
291Single-Cell Photonic Nanocavity Probes
292Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal
293Nanoscopic structural rearrangements of the Cu-filament in conductive-bridge memories
294Junctionless Gate-All-Around pFETs Using In-situ Boron-Doped Ge Channel on Si
295Influence of Al2O3 layer insertion on the electrical properties of Ga-In-Zn-O thin-film transistors
296Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition
297Junction-less nanowire based photodetector: Role of nanowire width
298Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
299Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
300Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks
301Characteristics of Charge Trap Flash Memory with Al2O3/(Ta/Nb)Ox/Al2O3 Multi-Layer
302Graphene photodetectors with a bandwidth >76 GHz fabricated in a 6" wafer process line
303Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates
304Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
305Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells
306Residual stress study of thin films deposited by atomic layer deposition
307Electrical Characteristics of Multilayer MoS2 FET's with MoS2/Graphene Heterojunction Contacts
308Patterned deposition by plasma enhanced spatial atomic layer deposition
309Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications
310Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
311Photo-Patternable ZnO Thin Films Based on Cross-Linked Zinc Acrylate for Organic/Inorganic Hybrid Complementary Inverters
312Fast PEALD ZnO Thin-Film Transistor Circuits
313Tunable Work-Function Engineering of TiC-TiN Compound by Atomic Layer Deposition for Metal Gate Applications
314Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
315Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
316Controlling threshold voltage and leakage currents in vertical organic field-effect transistors by inversion mode operation
317Two-stage permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
318Atomic Layer Deposition (ALD) grown thin films for ultra-fine pitch pixel detectors
319Normally-off AlGaN/GaN recessed MOS-HEMTs on normally-on epitaxial structures for microwave power applications
320Characteristics of AlxTi1-xOy Films Grown by Plasma-Enhanced Atomic Layer Deposition
321Electrical Properties of Al2O3 Films Grown by the Electron Cyclotron Resonance Plasma-Enhanced Atomic Layer Deposition (ECR-PEALD) and Thermal ALD Methods
322Near room-temperature direct encapsulation of organic photovoltaics by plasma-based deposition techniques
323Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
324Atomic layer deposition TiO2-Al2O3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors
325Characterization of CVD graphene permittivity and conductivity in micro-/millimeter wave frequency range
326Fabrication and Characterization of an Extended-Gate AlGaN/GaN-Based Heterostructure Field-Effect Transistor-Type Biosensor for Detecting Immobilized Streptavidin-Biotin Protein Complexes
327In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
328Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
329Tribological properties of thin films made by atomic layer deposition sliding against silicon
330Hanle-effect measurements of spin injection from Mn5Ge3C0.8/Al2O3-contacts into degenerately doped Ge channels on Si
331Enhancement of mobility in ultra-thin-body GeOI p-channel metal-oxide-semiconductor field effect transistors with Si-passivated back interfaces
332The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
333Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems
334Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition
335Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
336Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides
337Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
338Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method
339Plasma-enhanced atomic layer deposition: Correlating O2 plasma parameters and species to blister formation and conformal film growth
340Device performance tuning of Ge gate-all-around tunneling field effect transistors by means of GeSn: Potential and challenges
341Realistic efficiency potential of next-generation industrial Czochralski-grown silicon solar cells after deactivation of the boron-oxygen-related defect center
342Low-Power Double-Gate ZnO TFT Active Rectifier
343Synthesis of bio-inspired multilayer polarizers and their application to anti-counterfeiting
344Background-Free Bottom-Up Plasmonic Arrays with Increased Sensitivity, Specificity and Shelf Life for SERS Detection Schemes
345Atomic layer deposited Al2O3 capping layer effect on environmentally assisted cracking in SiNx barrier films
346Self-aligned tip deinsulation of atomic layer deposited Al2O3 and parylene C coated Utah electrode array based neural interfaces
347Plasma Enhanced Atomic Layer Deposition on Powders
348Impact of Ions on Film Conformality and Crystallinity during Plasma-Assisted Atomic Layer Deposition of TiO2
349Tuning the phase transitions of VO2 thin films on silicon substrates using ultrathin Al2O3 as buffer layers
350Effect of Al2O3 insertion on the electrical properties of SrTiO3 thin films: A comparison between Al2O3-doped SrTiO3 and SrTiO3/Al2O3/SrTiO3 sandwich structure
351A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
352AlGaN/GaN MIS-HEMT Gate Structure Improvement Using Al2O3 Deposited by PEALD
353Multi-functional touch sensors with strained P(VDF-TrFE) deposited on metal oxide thin film transistor
354Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
355Negative differential resistance in the I-V curves of Al2O3/AlGaN/GaN MIS structures
356Impact of interface materials on side permeation in indirect encapsulation of organic electronics
357Breakdown and Protection of ALD Moisture Barrier Thin Films
358Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
359Enhanced X-ray reflectivity from Pt-coated silicon micropore optics prepared by plasma atomic layer deposition
360Integration of Atomic Layer Deposited Al2O3 Dielectrics with Graphene
361On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR
362Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application
363Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
364N-doped TiO2 nanotubes coated with a thin TaOxNy layer for photoelectrochemical water splitting: dual bulk and surface modification of photoanodes
365Electrical Characteristics of n, p-In0.53Ga0.47As MOSCAPs With In Situ PEALD-AlN Interfacial Passivation Layer
366MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
367Mechanical properties of thin-film Parylene-metal-Parylene devices
368Leakage Current Reduction in ALD-Al2O3 Dielectric Deposited on Si by High Pressure Deuterium Oxide Annealing
369Electronic properties of atomic-layer-deposited high-k dielectrics on GaSb(001) with hydrogen plasma pretreatment
370Optimization of the Surface Structure on Black Silicon for Surface Passivation
371Method of Fabrication for Encapsulated Polarizing Resonant Gratings
372Atomic layer deposited Al2O3 and parylene C dual-layer encapsulation for biomedical implantable devices
373Permeation barrier properties of an Al2O3/ZrO2 multilayer deposited by remote plasma atomic layer deposition
374Low Dit HfO2/Al2O3/In0.53Ga0.47As gate stack achieved with plasma-enhanced atomic layer deposition
375In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
376Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers
377AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
378Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating
379Interfaces Formed by ALD Metal Oxide Growth on Metal Layers
380Application of spectral ellipsometry to in situ diagnostics of atomic layer deposition of dielectrics on silicon and AlGaN
381Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
382Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
383Propagation Effects in Carbon Nanoelectronics
384Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
385Electrically Excited Plasmonic Nanoruler for Biomolecule Detection
386Al2O3/TiO2 nanolaminate gate dielectric films with enhanced electrical performances for organic field-effect transistors
387Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
388Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
389Negative charge trapping effects in Al2O3 films grown by atomic layer deposition onto thermally oxidized 4H-SiC
390Fast Flexible Plastic Substrate ZnO Circuits
391Passivation of Al2O3/TiO2 on monocrystalline Si with relatively low reflectance
392Serpentine geometry for enhanced performance of nanometer-thin platinum bolometers
393Stability of effective lifetime of float-zone silicon wafers with AlOx surface passivation schemes under illumination at elevated temperature
394Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
395Moisture barrier and chemical corrosion protection of silver-based telescope mirrors using aluminum oxide films by plasma-enhanced atomic layer deposition
396Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
397Al2O3/TiO2 Nanolaminate Thin Film Encapsulation for Organic Thin Film Transistors via Plasma-Enhanced Atomic Layer Deposition
398Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
399Supportless Platinum Nanotubes Array by Atomic Layer Deposition as PEM Fuel Cell Electrode
400Obtaining low resistivity (~100 µΩ cm) TiN films by plasma enhanced atomic layer deposition using a metalorganic precursor
401Advanced thin conformal Al2O3 films for high aspect ratio mercury cadmium telluride sensors
402Improvement of Capacitance Equivalent Thickness, Leakage Current, and Interfacial State Density Based on Crystallized High-K Dielectrics/Nitrided Buffer Layer Gate Stacks
403AC-Capacitance Techniques for Interface Trap Analysis in GaN-Based Buried-Channel MIS-HEMTs
404Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
405Field-effect passivation of Si by ALD-Al2O3: Second harmonic generation monitoring and simulation
406Development and Evaluation of a Nanometer-Scale Hemocompatible and Antithrombotic Coating Technology Platform for Commercial Intracranial Stents and Flow Diverters
407AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
408Flexible, light trapping substrates for organic photovoltaics
409Electrical characterization of the slow boron oxygen defect component in Czochralski silicon
410Very high frequency plasma reactant for atomic layer deposition
411Optical display film as flexible and light trapping substrate for organic photovoltaics
412Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
413Liquids on-chip: direct storage and release employing micro-perforated vapor barrier films
414Role of Metal Contacts in Designing High-Performance Monolayer n-Type WSe2 Field Effect Transistors
415Plasma-Assisted ALD of an Al2O3 Permeation Barrier Layer on Plastic
416A Capacitance-to-Frequency Converter with On-Chip Passivated Microelectrodes for Bacteria Detection in Saline Buffers up to 575 MHz
417Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates
418Graphene based on-chip variable optical attenuator operating at 855 nm wavelength
419Hot Carrier Filtering in Solution Processed Heterostructures: A Paradigm for Improving Thermoelectric Efficiency
420Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
421Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
422High-κ insulating materials for AlGaN/GaN metal insulator semiconductor heterojunction field effect transistors
423AlGaN/GaN MIS-HEMT gate structure improvement using Al2O3 deposited by plasma-enhanced ALD
424Comparative study of thermal and plasma enhanced atomic layer deposition of aluminum oxide on graphene
425New grating concepts in the NIR and SWIR spectral band for high resolution earth-observation spectrometers
426Protective capping and surface passivation of III-V nanowires by atomic layer deposition
427Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
428Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition
429Biosensor properties of SOI nanowire transistors with a PEALD Al2O3 dielectric protective layer
430Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
431Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
432Reliability and failure physics of GaN HEMT, MIS-HEMT and p-gate HEMTs for power switching applications: Parasitic effects and degradation due to deep level effects and time-dependent breakdown phenomena
433Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
434Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
435Working gas effect on properties of Al2O3 film in plasma-enhanced atomic layer deposition
436Device Performances Related to Gate Leakage Current in Al2O3/AlGaN/GaN MISHFETs
437Effect of an Al2O3/TiO2 Passivation Layer on the Performance of Amorphous Zinc-Tin Oxide Thin-Film Transistors
438Plasma Enhanced Atomic Layer Deposition of Al2O3/SiO2 MIM Capacitors
439Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy
440Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3
441Investigation of Atomic Layer Deposition Al2O3 Passivation for Screen-Printed Large-Area Solar Cells
442Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
443Liquid-phase-deposited siloxane-based capping layers for silicon solar cells
444Structural and electrical analysis of the atomic layer deposition of HfO2/n-In0.53Ga0.47As capacitors with and without an Al2O3 interface control layer
445Passivation of InGaAs interface states by thin AlN interface layers for metal-insulator-semiconductor applications
446Electron channel mobility in silicon-doped Ga2O3 MOSFETs with a resistive buffer layer
447Chemically-tunable ultrathin silsesquiazane interlayer for n-type and p-type organic transistors on flexible plastic
448Distribution and coverage of 40 nm gold nano-particles on aluminum and hafnium oxide using electrophoretic method and fabricated MOS structures
449Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
450Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
451Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
452Degradation of the surface passivation of plasma-assisted ALD Al2O3 under damp-heat exposure
453Terahertz Quantum Plasmonics of Nanoslot Antennas in Nonlinear Regime
454Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
455Steady-state Thermal Conductivity Measurement of Dielectric Stacks for Phase-Change Memory Power Reduction
456Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
457Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
458Liquid-Phase Crystallized Silicon Solar Cells on Glass: Increasing the Open-Circuit Voltage by Optimized Interlayers for n- and p-Type Absorbers
459Use of a passivation layer to improve thermal stability and quality of a phosphorene/AZO heterojunction diode
460Evaluation of Thermal Versus Plasma-Assisted ALD Al2O3 as Passivation for InAlN/AlN/GaN HEMTs
461Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
462Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
463Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
464Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment
465On the Control of the Fixed Charge Densities in Al2O3 Based Silicon Surface Passivation Schemes
466Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
467Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
468Injection dependence of the effective lifetime of n-type Si passivated by Al2O3: An edge effect?
469Silicon Surface Passivation by Gallium Oxide Capped With Silicon Nitride
470Efficiency enhancement of InGaN/GaN blue light-emitting diodes with top surface deposition of AlN/Al2O3
471Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films
472Ultrasensitive Detection of 2,4-Dinitrophenol Using Nanowire Biosensor
473Improvement of interfacial and electrical properties of Al2O3/n-Ga0.47In0.53As for III-V impact ionization MOSFETs
474Comparison of passivation layers for AlGaN/GaN high electron mobility transistors
475Highly stable all-inorganic CsPbBr3 nanocrystals film encapsulated with alumina by plasma-enhanced atomic layer deposition