Chemical Composition, Impurities Plasma Enhanced Atomic Layer Deposition Publications

Your search for plasma enhanced atomic layer deposition publications discussing Chemical Composition, Impurities returned 864 record(s). If there are too many results, you may want to use the multi-factor search to narrow the results.

NumberTitle
1Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma
2Properties of HfAlO film deposited by plasma enhanced atomic layer deposition
3Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature
4Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaNx on Adhesion with Copper
5Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing
6Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si
7Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid
8Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide
9Chemistry of SiNx thin film deposited by plasma-enhanced atomic layer deposition using di-isopropylaminosilane (DIPAS) and N2 plasma
10Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor
11Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer
12Properties of AlN grown by plasma enhanced atomic layer deposition
13Atomic Layer Deposition of Ni-Co-O Thin-Film Electrodes for Solid-State LIBs and the Influence of Chemical Composition on Overcapacity
14Electrical and chemical characterization of Al2O3 passivation layer deposited by plasma-assisted atomic layer deposition in c-Si solar cells
15Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
16Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
17Atomic Layer Deposition of Nanolayered Carbon Films
18Characteristics of HfO2 thin films grown by plasma atomic layer deposition
19Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors
20In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
21Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen
22PEALD ZrO2 Films Deposition on TiN and Si Substrates
23Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition
24Enhanced Barrier Performance of Engineered Paper by Atomic Layer Deposited Al2O3 Thin Films
25Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition
26The effects of layering in ferroelectric Si-doped HfO2 thin films
27High Dielectric Constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates
28Thermal and Plasma-Enhanced Atomic Layer Deposition of TiN Using TDMAT and NH3 on Particles Agitated in a Rotary Reactor
29Atomic-scale characterization of plasma-induced damage in plasma-enhanced atomic layer deposition
30Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors
31Characteristics of WNxCy films deposited using remote plasma atomic layer deposition with (MeCp)W(CO)2(NO) for Cu diffusion barrier
32Chemical Reaction and Ion Bombardment Effects of Plasma Radicals on Optoelectrical Properties of SnO2 Thin Films via Atomic Layer Deposition
33The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
34Plasma enhanced atomic layer deposition of magnesium oxide as a passivation layer for enhanced photoluminescence of ZnO nanowires
35Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor
36Sub-nanometer heating depth of atomic layer annealing
37Plasma-Assisted Atomic Layer Deposition of Palladium
38Using top graphene layer as sacrificial protection during dielectric atomic layer deposition
39Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma
40Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications
41PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen
42Comparison of thermal and plasma-enhanced atomic layer deposition of niobium oxide thin films
43Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma
44Impact of composition and crystallization behavior of atomic layer deposited strontium titanate films on the resistive switching of Pt/STO/TiN devices
45Hydrophilic/hydrophobic surface of Al2O3 thin films grown by thermal and plasma-enhanced atomic layer deposition on plasticized polyvinyl chloride (PVC)
46Deposition of Al2O3 by Using ECR-ALD for Organic Substrate Devices
47Comparative study of thermal and radical-enhanced methods for growing boron nitride films from diborane and ammonia
48Flexible Memristive Memory Array on Plastic Substrates
49Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes
50Back-End, CMOS-Compatible Ferroelectric Field-Effect Transistor for Synaptic Weights
51Atomic layer deposition of stoichiometric In2O3 films using liquid ethylcyclopentadienyl indium and combinations of H2O and O2 plasma
52Thickness-dependent electrochemical response of plasma enhanced atomic layer deposited WS2 anodes in Na-ion battery
53Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition
54Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3
55Improvement of the thermal stability of nickel silicide using a ruthenium interlayer deposited via remote plasma atomic layer deposition
56Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
57All-oxide thin-film transistors with channels of mixed InOx-ZnOy formed by plasma-enhanced atomic layer deposition process
58Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition
59Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition
60Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods
61Method to enhance atomic-layer deposition of tungsten-nitride diffusion barrier for Cu interconnect
62MANOS performance dependence on ALD Al2O3 oxidation source
63Characteristics of the HfO2 Thin Films Grown by Remote Plasma Atomic Layer Deposition Method on the Plasma Oxidized Si Substrate
64Influence of Substrate on Hafnium Silicate Metal-Insulator-Metal Capacitors Grown by Atomic Layer Deposition
65Thermal and Plasma-Enhanced ALD of Ta and Ti Oxide Thin Films from Alkylamide Precursors
66Understanding the mechanisms of interfacial reactions during TiO2 layer growth on RuO2 by atomic layer deposition with O2 plasma or H2O as oxygen source
67In Situ Hydrogen Plasma Exposure for Varying the Stoichiometry of Atomic Layer Deposited Niobium Oxide Films for Use in Neuromorphic Computing Applications
68Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor
69Plasma-enhanced atomic layer deposition of palladium on a polymer substrate
70The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces
71Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering
72Low temperature growth of high-k Hf-La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties
73Plasma-enhanced atomic layer deposition of titanium vanadium nitride
74Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition
75Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects
76Prevention of spontaneous combustion of cellulose with a thin protective Al2O3 coating formed by atomic layer deposition
77XPS study of homemade plasma enhanced atomic layer deposited La2O3/ZrO2 bilayer thin films
78Mechanical, structural, and optical properties of PEALD metallic oxides for optical applications
79Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric
80CeO2 Doping of Hf0.5Zr0.5O2 Thin Films for High Endurance Ferroelectric Memories
81Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4
82ALD titanium nitride on vertically aligned carbon nanotube forests for electrochemical supercapacitors
83Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition
84Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
85In situ diagnostics for studying gas-surface reactions during thermal and plasma-assisted atomic layer deposition
86Atomic Layer Deposition of the Solid Electrolyte LiPON
87Low temperature deposition of Ga2O3 thin films using trimethylgallium and oxygen plasma
88Low Temperature Plasma-Enhanced Atomic Layer Deposition of Metal Oxide Thin Films
89Atomic Layer Deposition of Gold Metal
90Epitaxial Growth of Cubic and Hexagonal InN Thin Films via Plasma-Assisted Atomic Layer Epitaxy
91Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics
92In situ plasma enhanced atomic layer deposition half cycle study of Al2O3 on AlGaN/GaN high electron mobility transistors
93Co3O4 as Anode Material for Thin Film µBatteries prepared by Remote Plasma Atomic Layer Deposition
94High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition
95Designing Multifunctional Cobalt Oxide Layers for Efficient and Stable Electrochemical Oxygen Evolution
96Plasma-Enhanced Atomic Layer Deposition of SrTa2O6 Thin Films Using Sr[Ta(OC2H5)5(OC2H4OCH3)]2 as Precursor
97Damage evaluation in graphene underlying atomic layer deposition dielectrics
98Plasma-enhanced atomic layer deposition of superconducting niobium nitride
99Crystal AlN deposited at low temperature by magnetic field enhanced plasma assisted atomic layer deposition
100Plasma-enhanced atomic layer deposition of TiCx films using tetrakis neopentyl titanium and applications to a diffusion barrier and contact material
101A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
102Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2/H2/Ar Plasma
103PEALD of Copper using New Precursors for Next Generation of Interconnections
104Protective capping and surface passivation of III-V nanowires by atomic layer deposition
105Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits
106Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle
107Deposition Of MnO Anode And MnO2 Cathode Thin Films By Plasma Enhanced Atomic Layer Deposition Using The Mn(thd)3 Precursor
108Improvement of smooth surface of RuO2 bottom electrode on Al2O3 buffer layer and characteristics of RuO2/TiO2/Al2O3/TiO2/RuO2 capacitors
109Plasma-enhanced atomic layer deposition of SnO2 thin films using SnCl4 and O2 plasma
110Plasma-assisted atomic layer deposition of Al2O3 and parylene C bi-layer encapsulation for chronic implantable electronics
111Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten
112Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films
113Atomic hydrogen-assisted ALE of germanium
114Plasma-enhanced atomic layer deposition for plasmonic TiN
115Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma
116Comparative study on growth characteristics and electrical properties of ZrO2 films grown using pulsed plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition for oxide thin film transistors
117Growth behavior and film properties of titanium dioxide by plasma-enhanced atomic layer deposition with discrete feeding method
118Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor
119Growth behavior and structural characteristics of TiO2 thin films using (CpN)Ti(NMe2)2 and oxygen remote plasma
120In situ surface cleaning on a Ge substrate using TMA and MgCp2 for HfO2-based gate oxides
121AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma As Oxidants
122Wafer-scale, conformal and direct growth of MoS2 thin films by atomic layer deposition
123Emerging Atomic Layer Deposition (ALD) Processes For Low Thermal Budget Flexible Electronics
124Plasma-Enhanced Atomic Layer Deposition of Nanoscale Yttria-Stabilized Zirconia Electrolyte for Solid Oxide Fuel Cells with Porous Substrate
125Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition
126Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
127Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
128Flexible 3D Electrodes of Free-Standing TiN Nanotube Arrays Grown by Atomic Layer Deposition with a Ti Interlayer as an Adhesion Promoter
129High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal-Organic Silicon Precursor and Oxygen Radical
130Perspectives on future directions in III-N semiconductor research
131Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells
132Electrical properties of SrTa2O6 thin films by plasma enhanced atomic layer deposition (PEALD)
133Effect of Sr-Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
134Tunable band gap of III-Nitride alloys obtained by Plasma Enhanced Atomic Layer Deposition
135Direct Growth of Al2O3 on Black Phosphorus by Plasma-Enhanced Atomic Layer Deposition
136Nucleation mechanism during WS2 plasma enhanced atomic layer deposition on amorphous Al2O3 and sapphire substrates
137Degradation of the surface passivation of plasma-assisted ALD Al2O3 under damp-heat exposure
138Nitrogen-Doping of Bulk and Nanotubular TiO2 Photocatalysts by Plasma-Assisted Atomic Layer Deposition
139Thermal conductivity of ultrathin BaTiO3 films grown by plasma-assisted atomic layer deposition
140Evaluation of Low Temperature Silicon Nitride Spacer for High-k Metal Gate Integration
141PEALD grown high-k ZrO2 thin films on SiC group IV compound semiconductor
142Barrier Characteristics of TaN Films Deposited by Using the Remote Plasma Enhanced Atomic Layer Deposition Method
143RT Atomic Layer Deposition of Al2O3 By Using Remote Plasma Excited Water Vapor
144Epitaxial GaN using Ga(NMe2)3 and NH3 plasma by atomic layer deposition
145Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature
146Effect of postdeposition annealing on the electrical properties of beta-Ga2O3 thin films grown on p-Si by plasma-enhanced atomic layer deposition
147Atomic layer deposition of aluminum fluoride using Al(CH3)3 and SF6 plasma
148Atomic Layer Engineering of Er-Ion Distribution in Highly Doped Er:Al2O3 for Photoluminescence Enhancement
149Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition
150Role of reactive gas on the structure and properties of titanium nitride films grown by plasma enhanced atomic layer deposition
151Effects of Ar plasma treatment for deposition of ruthenium film by remote plasma atomic layer deposition
152Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3
153Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth
154Current transport mechanisms in plasma-enhanced atomic layer deposited AlN thin films
155TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition
156Investigation of residual chlorine in TiO2 films grown by Atomic Layer Deposition
157Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma
158Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks
159Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides
160Hot-Wire Generated Atomic Hydrogen and its Impact on Thermal ALD in TiCl4/NH3 System
161On the role of nanoporosity in controlling the performance of moisture permeation barrier layers
162Low-Temperature ALD Growth of SrTiO3 Thin Films from Sr beta-Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source
163Surface engineering of nanoporous substrate for solid oxide fuel cells with atomic layer-deposited electrolyte
164Redshift and amplitude increase in the dielectric function of corundum-like α-(TixGa1-x)2O3
165Diffusion of Phosphorus and Boron from Atomic Layer Deposition Oxides into Silicon
166Microstructure analysis of plasma enhanced atomic layer deposition-grown mixed-phase RuTaN barrier for seedless copper electrodeposition
167Fermi Level Tuning of ZnO Films Through Supercycled Atomic Layer Deposition
168Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma
169Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor
170Plasma enhanced atomic layer deposition of Ga2O3 thin films
171Approximation of PE-MOCVD to ALD for TiN Concerning Resistivity and Chemical Composition
172Alumina films as gas barrier layers grown by spatial atomic layer deposition with trimethylaluminum and different oxygen sources
173High-Mobility Indium Oxide Thin-Film Transistors by Means of Plasma-Enhanced Atomic Layer Deposition
174Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties
175Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
176Experimental and theoretical determination of the role of ions in atomic layer annealing
177High mobility polycrystalline indium oxide thin-film transistors by means of plasma-enhanced atomic layer deposition
178Plasma-enhanced atomic-layer-deposited MoOx emitters for silicon heterojunction solar cells
179Controllable synthesis of molybdenum tungsten disulfide alloy for vertically composition-controlled multilayer
180Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma
181Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor
182Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals
183Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics
184Plasma-assisted atomic layer deposition of HfNx: Tailoring the film properties by the plasma gas composition
185Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells
186Improvements on Interface Reliability and Capacitance Dispersion of Fluorinated ALD-Al2O3 Gate Dielectrics by CF4 Plasma Treatment
187Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods
188Low temperature growth of Beryllium Oxide thin films prepared via plasma enhanced atomic layer deposition
189Atomic layer deposition of TiN for the fabrication of nanomechanical resonators
190Improving the stability of atomic layer deposited alumina films in aqueous environments with metal oxide capping layers
191Enhanced photocatalytic performance in atomic layer deposition grown TiO2 thin films via hydrogen plasma treatment
192Study of Atomic Layer Deposition of Indium Oxy-sulfide films for Cu(In,Ga)Se2 solar cells
193Plasma-Enabled ALD of Niobium Nitride Using an Organometallic Nb Precursor
194Synthesis and Characterization of BiFeO3 Thin Films for Multiferroic Applications by Radical Enhanced Atomic Layer Deposition
195Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition
196Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices
197The Effects of Radio Frequency Plasma Power on Al2O3 Films Deposited at Room-Temperature by Remote Plasma Atomic Layer Deposition
198Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures
199Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations
200Spontaneous Formation of Vertical Magnetic-Metal-Nanorod Arrays During Plasma-Enhanced Atomic Layer Deposition
201Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition
202Characterization of HfOxNy thin film formation by in-situ plasma enhanced atomic layer deposition using NH3 and N2 plasmas
203Plasma-enhanced atomic layer deposition of vanadium nitride
204Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition
205PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
206ALD TiN Schottky Gates for Improved Electrical and Thermal Stability in III-N Devices
207Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer
208Characteristics of Nickel Thin Film and Formation of Nickel Silicide by Remote Plasma Atomic Layer Deposition using Ni(iPr-DAD)2
209Plasma-Enhanced ALD of Titanium-Silicon-Nitride Using TiCl4 , SiH4, and N2/H2/Ar Plasma
210Low-temperature growth of gallium oxide thin films by plasma-enhanced atomic layer deposition
211Composite materials and nanoporous thin layers made by atomic layer deposition
212Structural investigation of Ru/Pt nanocomposite films prepared by plasma-enhanced atomic layer depositions
213Low-temperature direct synthesis of high quality WS2 thin films by plasma-enhanced atomic layer deposition for energy related applications
214Densification of Thin Aluminum Oxide Films by Thermal Treatments
215Remote Plasma Atomic Layer Deposition of Co3O4 Thin Film
216Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films
217A controlled growth of WNx and WCx thin films prepared by atomic layer deposition
218HfO2/SiO2 anti-reflection films for UV lasers via plasma-enhanced atomic layer deposition
219Phase Control of Crystalline Ga2O3 Films by Plasma-Enhanced Atomic Layer Deposition
220Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices
221The α and γ plasma modes in plasma-enhanced atomic layer deposition with O2-N2 capacitive discharges
222Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane-Hydrogen Gas
223Improved understanding of recombination at the Si/Al2O3 interface
224Low temperature temporal and spatial atomic layer deposition of TiO2 films
225Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies
226X-ray Photoelectron Spectroscopy Analyses of Atomic Layer Deposition-Prepared Titanium-Dioxide Thin Films with Oxygen Sources and Their Annealing Effect
227Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films
228Atomic scale surface modification of TiO2 3D nano-arrays: plasma enhanced atomic layer deposition of NiO for photocatalysis
229Atomic Layer Deposition of Lithium-Nickel-Silicon Oxide Cathode Material for Thin-Film Lithium-Ion Batteries
230Optical and Electrical Properties of TixSi1-xOy Films
231AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition
232Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
233High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
234Remote plasma atomic layer deposition of silicon nitride with bis(dimethylaminomethyl-silyl)trimethylsilyl amine and N2 plasma for gate spacer
235Dielectric properties investigation of a compound based on atomic layer deposited multi-layer structure
236Remote plasma-enhanced atomic layer deposition of metallic TiN films with low work function and high uniformity
237Plasma-enhanced atomic layer deposition of barium titanate with aluminum incorporation
238Al2O3/SiO2 nanolaminate for a gate oxide in a GaN-based MOS device
239Growth characteristics and electrical properties of SiO2 thin films prepared using plasma-enhanced atomic layer deposition and chemical vapor deposition with an aminosilane precursor
240Enhancement of Electrical Characteristics and Reliability in Crystallized ZrO2 Gate Dielectrics Treated with In-Situ Atomic Layer Doping of Nitrogen
241The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties
242Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8]
243Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement
244Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods
245Growth of Gallium Nitride Films on Multilayer Graphene Template Using Plasma-Enhanced Atomic Layer Deposition
246Influence of stoichiometry on the performance of MIM capacitors from plasma-assisted ALD SrxTiyOz films
247Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition
248Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
249Effects of an Al2O3 capping layer on La2O3 deposited by remote plasma atomic layer deposition
250Understanding the effect of nitrogen plasma exposure on plasma assisted atomic layer epitaxy of InN monitored by real time grazing incidence small angle x-ray scattering
251Influence of oxygen source on the ferroelectric properties of ALD grown Hf1-xZrxO2 films
252Coupled used of SKP and C-V measurements to highlight the charge distribution and behavior in the Si/SiO2/Al2O3 stack for silicon solar cells surface passivation
253Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-Heterocyclic carbene precursor
254Characteristics of Plasma-Enhanced Atomic Layer Deposited RuSiN as a Diffusion Barrier against Cu
255Highly Conductive HfNx Films Prepared by Plasma-Assisted Atomic Layer Deposition
256Plasma enhanced atomic layer deposition of gallium sulfide thin films
257Atomic layer deposition of aluminum thin films using an alternating supply of trimethylaluminum and a hydrogen plasma
258Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition
259Atomic Layer Deposition of Ultrathin Crystalline Epitaxial Films of V2O5
260Atomic Layer Deposition of NiO to Produce Active Material for Thin-Film Lithium-Ion Batteries
261PEALD AlN: controlling growth and film crystallinity
262Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O
263Structural and electrical properties of ultra-thin high-k ZrO2 film on nitride passivated Ge(100) prepared by PEALD
264Tuning The Photoactivity of Zirconia Nanotubes-Based Photoanodes via Ultrathin Layers of ZrN: An Effective Approach toward Visible-Light Water Splitting
265Al2O3/SiNx-Stacks at Increased Temperatures: Avoiding Blistering During Contact Firing
266Performance of Nanocrystal ZnO Thin-Film Schottky Contacts on Cu by Atomic Layer Deposition
267Ferroelectricity of HfZrO2 in Energy Landscape With Surface Potential Gain for Low-Power Steep-Slope Transistors
268Comparison of plasma-enhanced atomic layer deposition AlN films prepared with different plasma sources
269Temperature controlled Ru and RuO2 growth via O* radical-enhanced atomic layer deposition with Ru(EtCp)2
270Growth and Characterization of Metastable Hexagonal Nickel Thin Films via Plasma-Enhanced Atomic Layer Deposition
271Self-assembled monolayers as a defect sealant of Al2O3 barrier layers grown by atomic layer deposition
272Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources
273Opto-chemical control through thermal treatment of plasma enhanced atomic layer deposited ZnO: An in situ study
274Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers
275Compositional and electrical modulation of niobium oxide thin films deposited by plasma-enhanced atomic layer deposition
276Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization
277Influence of plasma parameters on the properties of ultrathin Al2O3 films prepared by plasma enhanced atomic layer deposition below 100C for moisture barrier applications
278Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2: large area, thickness control and tuneable morphology
279Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4
280Plasma-Enhanced Atomic Layer Deposition of Ru-TiN Thin Films for Copper Diffusion Barrier Metals
281Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition - Thesis Coverage
282Method of sealing pores in porous low-k SiOC(-H) films fabricated using plasma-assisted atomic layer deposition
283An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD
284Growth of V2O5 Films for Battery Applications by Pulsed Chemical Vapor Deposition
285Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf(mp)4
286Characteristics of Ti-Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl
287A Bilayer Diffusion Barrier of ALD-Ru/ALD-TaCN for Direct Plating of Cu
288Atomic Layer Deposition of Ruthenium and Ruthenium Oxide Using a Zero-Oxidation State Precursor
289Atomic Layer Deposition of Silicon Nitride from Bis(tertiary-butyl-amino)silane and N2 Plasma Studied by in Situ Gas Phase and Surface Infrared Spectroscopy
290Plasma-enhanced atomic layer deposition of amorphous Ru-Si-N thin film as a diffusion barrier of direct plating of Cu
291Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers
292The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor
293In situ real-time and ex situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition
294Room-Temperature Atomic Layer Deposition of HfO2 By Using Remote Plasma Source
295Hydrogen induced passivation of Si interfaces by Al2O3 films and SiO2/Al2O3 stacks
296Plasma enhanced atomic layer deposition of Fe2O3 thin films
297The effects of plasma treatment on the thermal stability of HfO2 thin films
298Long-Term Bilayer Encapsulation Performance of Atomic Layer Deposited Al2O3 and Parylene C for Biomedical Implantable Devices
299Antiferromagnetism and p-type conductivity of nonstoichiometric nickel oxide thin films
300Long-term ambient surface oxidation of titanium oxynitride films prepared by plasma-enhanced atomic layer deposition: An XPS study
301Parallel preparation of plan-view transmission electron microscopy specimens by vapor-phase etching with integrated etch stops
302Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source
303Infrared study on low temperature atomic layer deposition of GaN using trimethylgallium and plasma-excited ammonia
304Charge Transport through Organic Molecular Wires Embedded in Ultrathin Insulating Inorganic Layer
305Controlling the composition of Ti1-xAlxN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition
306Characteristics of an Al2O3 Thin Film Deposited by a Plasma Enhanced Atomic Layer Deposition Method Using N2O Plasma
307Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation
308Electron Cyclotron Resonance Plasma-Assisted Atomic Layer Deposition of Amorphous Al2O3 Thin Films
309Atomic Layer Deposition of High-Purity Palladium Films from Pd(hfac)2 and H2 and O2 Plasmas
310Ultrathin effective TiN protective films prepared by plasma-enhanced atomic layer deposition for high performance metallic bipolar plates of polymer electrolyte membrane fuel cells
311Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/TiN stacks
312Study on the characteristics of aluminum thin films prepared by atomic layer deposition
313Influence of the polymeric substrate on the water permeation of alumina barrier films deposited by atomic layer deposition
314Simple plasma assisted atomic layer deposition technique for high substitutional nitrogen doping of TiO2
315Carbon content control of silicon oxycarbide film with methane containing plasma
316Plasma-enhanced atomic layer deposition of hafnium silicate thin films using a single source precursor
317Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co
318Comparison between thermal and plasma enhanced atomic layer deposition processes for the growth of HfO2 dielectric layers
319Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
320Enhanced Step Coverage of TiO2 Deposited on High Aspect Ratio Surfaces by Plasma-Enhanced Atomic Layer Deposition
321Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process
322Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry
323Enhancement of barrier properties of aluminum oxide layer by optimization of plasma-enhanced atomic layer deposition process
324Nitride memristors
325The physical properties of cubic plasma-enhanced atomic layer deposition TaN films
326Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization
327Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation
328Influence of Working Pressure on the Al2O3 Film Properties in Plasma-Enhanced Atomic Layer Deposition
329Controllable nitrogen doping in as deposited TiO2 film and its effect on post deposition annealing
330Schottky Diodes on ZnO Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition
331Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications
332Room-temperature field effect transistors with metallic ultrathin TiN-based channel prepared by atomic layer delta doping and deposition
333Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Studied by Spectroscopic Ellipsometry
334Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition
335Atmospheric pressure plasma enhanced spatial ALD of silver
336Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing
337Structure of Ru/Pt Nanocomposite Films Fabricated by Plasma-Enhanced Atomic Layer Depositions
338Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET
339Oxygen migration in TiO2-based higher-k gate stacks
340Hydrogen plasma-enhanced atomic layer deposition of hydrogenated amorphous carbon thin films
341Tunable Electrical Properties of Vanadium Oxide by Hydrogen-Plasma-Treated Atomic Layer Deposition
342MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
343Growth of tantalum nitride film as a Cu diffusion barrier by plasma-enhanced atomic layer deposition from bis((2-(dimethylamino)ethyl)(methyl)amido)methyl(tert-butylimido)tantalum complex
344Characteristics and Compositional Variation of TiN Films Deposited by Remote PEALD on Contact Holes
345Phase-change properties of GeSbTe thin films deposited by plasma-enchanced atomic layer depositon
346High breakdown voltage in AlN/GaN metal-insulator-semiconductor high-electron-mobility transistors
347Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide
348Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor
349Remote Plasma ALD of SrTiO3 Using Cyclopentadienlyl-Based Ti and Sr Precursors
350Annealing effects on properties of Ga2O3 films deposited by plasma-enhanced atomic layer deposition
351Properties and Mechanism of PEALD-In2O3 Thin Films Prepared by Different Precursor Reaction Energy
352Improved stability of electrical properties of nitrogen-added Al2O3 films grown by PEALD as gate dielectric
353Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
354Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature
355Effect of in situ hydrogen plasma treatment on zinc oxide grown using low temperature atomic layer deposition
356Characterization of Molybdenum Oxide Thin Films Grown by Atomic Layer Deposition
357Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
358Atmospheric pressure plasma enhanced spatial atomic layer deposition of SnOx as conductive gas diffusion barrier
359Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors
360Optimization of the Silver Nanoparticles PEALD Process on the Surface of 1-D Titania Coatings
361An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
362Uniformity of HfO2 Thin Films Prepared on Trench Structures via Plasma-Enhanced Atomic Layer Deposition
363Ti Alloyed α-Ga2O3: Route towards Wide Band Gap Engineering
364Plasma-enhanced Atomic Layer Deposition of TaN Film and Its Resistance to Copper Diffusion
365Atomic Layer Deposition and In-situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide
366Controlling transition metal atomic ordering in two-dimensional Mo1-xWxS2 alloys
367Stability of Al2O3 and Al2O3/a-Six:H stacks for surface passivation of crystalline silicon
368Critical-point model dielectric function analysis of WO3 thin films deposited by atomic layer deposition techniques
369Radical-enhanced atomic layer deposition of Y2O3 via a beta-diketonate precursor and O radicals
370An improvement of HfO2/Ge interface by in situ remote N2 plasma pretreatment for Ge MOS devices
371Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications
372Incorporating Yttrium into a GeO Interfacial Layer with HfO2-Based Gate Stack on Ge
373Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature
374Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide
375Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms
376Plasma-enhanced atomic layer deposition and etching of high-k gadolinium oxide
377Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties
378Aluminum nitride thin films deposited by hydrogen plasma enhanced and thermal atomic layer deposition
379Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films - Thesis Coverage
380Ultraviolet photodetector based on MgxZn1-xO films using plasma-enhanced atomic layer deposition
381Photoelectrochemical hydrogen production on silicon microwire arrays overlaid with ultrathin titanium nitride
382Atomic layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing
383Tuning size and coverage of Pd nanoparticles using atomic layer deposition
384Tris(dimethylamido)aluminum(III): An overlooked atomic layer deposition precursor
385Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma
386Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors
387Investigation of ultra-thin titania films as hole-blocking contacts for organic photovoltaics
388Structural and optical characterization of low-temperature ALD crystalline AlN
389Interface Properties of GaP/Si Heterojunction Fabricated by PE-ALD
390Spontaneous formation of aluminum germanate on Ge(100) by atomic layer deposition with trimethylaluminum and microwave-generated atomic oxygen
391In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics
392Large-Scale Deposition and Growth Mechanism of Silver Nanoparticles by Plasma-Enhanced Atomic Layer Deposition
393Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper
394Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O2 Plasma
395Controlled erbium incorporation and photoluminescence of Er-doped Y2O3
396Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides
397Radical Enhanced Atomic Layer Deposition of Titanium Dioxide - Thesis Coverage
398Low-Temperature Self-Limiting Growth of III-Nitride Thin Films by Plasma-Enhanced Atomic Layer Deposition
399Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide
400Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition
401Remote Plasma ALD of Platinum and Platinum Oxide Films
402Forming-free resistive switching of tunable ZnO films grown by atomic layer deposition
403Effect of ion energies on the film properties of titanium dioxides synthesized via plasma enhanced atomic layer deposition
404Blistering mechanisms of atomic-layer-deposited AlN and Al2O3 films
405Interface and plasma damage analysis of PEALD TaCN deposited on HfO2 for advanced CMOS studied by angle resolved XPS and C-V
406Thermal Interface Enhancement via Inclusion of an Adhesive Layer Using Plasma-Enhanced Atomic Layer Deposition
407Plasma-enhanced atomic layer deposition of tungsten oxide thin films using (tBuN)2(Me2N)2W and O2 plasma
408Characteristics of Cobalt Thin Films Deposited by Remote Plasma ALD Method with Dicobalt Octacarbonyl
409TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films
410Plasma-Enhanced Atomic Layer Deposition of Nickel Nanotubes with Low Resistivity and Coherent Magnetization Dynamics for 3D Spintronics
411Atomic layer deposition of HfO2 using HfCp(NMe2)3 and O2 plasma
412Plasma-Enhanced Atomic Layer Deposition of p-Type Copper Oxide Semiconductors with Tunable Phase, Oxidation State, and Morphology
413Edge-Site Nanoengineering of WS2 by Low-Temperature Plasma-Enhanced Atomic Layer Deposition for Electrocatalytic Hydrogen Evolution
414Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High Efficiency Precursor Design
415Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization
416Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces
417Growth mechanism of Co thin films formed by plasma-enhanced atomic layer deposition using NH3 as plasma reactant
418Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent
419Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition
420Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition
421Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures
422Probing the Origin and Suppression of Vertically Oriented Nanostructures of 2D WS2 Layers
423Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2O9 Thin Films Deposited by Plasma-Enhanced ALD
424Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure
425Residual chlorine in TiO2 films grown at low temperatures by plasma enhanced atomic layer deposition
426Development of plasma-enhanced atomic layer deposition grown Ru-WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications
427Atomic layer deposition of thin films as model electrodes: A case study of the synergistic effect in Fe2O3-SnO2
428High-κ Dielectric on ReS2: In-Situ Thermal Versus Plasma-Enhanced Atomic Layer Deposition of Al2O3
429Effect of Reaction Mechanism on Precursor Exposure Time in Atomic Layer Deposition of Silicon Oxide and Silicon Nitride
430Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions
431Atomic layer deposition of metal-oxide thin films on cellulose fibers
432Room temperature atomic layer deposition of TiO2 on gold nanoparticles
433Effects of Cl-Based Ligand Structures on Atomic Layer Deposited HfO2
434Rutile-structured TiO2 deposited by plasma enhanced atomic layer deposition using tetrakis(dimethylamino)titanium precursor on in-situ oxidized Ru electrode
435Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1-xO2 thin films using synchrotron x-ray analysis
436Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
437Atomic Layer Deposition of TiN/Al2O3/TiN Nanolaminates for Capacitor Applications
438Plasma-Enhanced Atomic Layer Deposition of Ni
439Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
440Low-Temperature Plasma-Assisted Atomic-Layer-Deposited SnO2 as an Electron Transport Layer in Planar Perovskite Solar Cells
441Effect of Oxygen Source on the Various Properties of SnO2 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
442Hydrogen radical enhanced atomic layer deposition of TaOx: saturation studies and methods for oxygen deficiency control
443Effect of atomic layer plasma treatment on TALD-ZrO2 film to improve the corrosion protection of Mg-Ca alloy
444Gallium nitride thin films by microwave plasma-assisted ALD
445Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time
446Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert-Butylimidotris( diethylamido)-tantalum (TBTDET), and its Effect on Material Properties
447Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films
448Cathode encapsulation of organic light emitting diodes by atomic layer deposited Al2O3 films and Al2O3/a-SiNx:H stacks
449Gadolinium nitride films deposited using a PEALD based process
450Low temperature SiOx thin film deposited by plasma enhanced atomic layer deposition for thin film encapsulation applications
451Layer-Controlled, Wafer-Scale, and Conformal Synthesis of Tungsten Disulfide Nanosheets Using Atomic Layer Deposition
452Plasma nitridation of atomic layer deposition (ALD) Al2O3 by NH3 in plasma-enhanced chemical vapor deposition (PECVD) for silicon solar cell
453Thermal Stability of Novel Hole-Selective Contacts for Silicon Wafer Solar Cells
454Bipolar Resistive Switching Characteristics of HfO2/TiO2/HfO2 Trilayer-Structure RRAM Devices on Pt and TiN-Coated Substrates Fabricated by Atomic Layer Deposition
455Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
456Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
457Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD
458Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition
459Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films
460Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3
461Plasma-enhanced atomic layer deposition of titanium molybdenum nitride: Influence of RF bias and substrate structure
462Flexible Al2O3/plasma polymer multilayer moisture barrier films deposited by a spatial atomic layer deposition process
463Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
464Atomic layer deposition for perovskite solar cells: research status, opportunities and challenges
465Local Electronic Structures and Electrical Characteristics of Well-Controlled Nitrogen-Doped ZnO Thin Films Prepared by Remote Plasma In situ Atomic Layer Doping
466Dielectric barrier characteristics of Si-rich silicon nitride films deposited by plasma enhanced atomic layer deposition
467Sub-0.5 nm Equivalent Oxide Thickness Scaling for Si-Doped Zr1-xHfxO2 Thin Film without Using Noble Metal Electrode
468HfO2 Thin Film Deposited by Remote Plasma Atomic Layer Deposition Method
469Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3
470Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme
471Electron-enhanced atomic layer deposition of silicon thin films at room temperature
472Optimization of Y2O3 dopant concentration of yttria stabilized zirconia thin film electrolyte prepared by plasma enhanced atomic layer deposition for high performance thin film solid oxide fuel cells
473Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects
474Electrical characteristics of Ga2O3-TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications
475Tailoring angular selectivity in SiO2 slanted columnar thin films using atomic layer deposition of titanium nitride
476Plasma-enhanced atomic layer deposition of BaTiO3
477Study of Y2O3 Thin Film Prepared by Plasma Enhanced Atomic Layer Deposition
478Effect of anode morphology on the performance of thin film solid oxide fuel cell with PEALD YSZ electrolyte
479Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure
480ALD-grown Ultrathin AlN Film for Passivation of AlGaN/GaN HEMTs
481Plasma-enhanced atomic layer deposition of tungsten nitride
482Atomic layer deposition of LiF using LiN(SiMe3)2 and SF6 plasma
483Atomic layer deposition of amorphous Ni-Ta-N films for Cu diffusion barrier
484Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN
485Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition
486Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials
487The Influence of Growth Temperature on the Properties of AlN Films Grown by Atomic Layer Deposition
488Ultraviolet Electroluminescence from Nitrogen-Doped ZnO-Based Heterojuntion Light-Emitting Diodes Prepared by Remote Plasma in situ Atomic Layer-Doping Technique
489Atomic layer deposition of cobalt phosphate thin films for the oxygen evolution reaction
490Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application
491In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
492Achieving ultrahigh corrosion resistance and conductive zirconium oxynitride coating on metal bipolar plates by plasma enhanced atomic layer deposition
493Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
494Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning
495Enhanced Methanol Oxidation with Annealed Atomic Layer Deposited Platinum Nanoparticles on Carbon Nanotubes
496Atomic layer deposition of Y2O3 thin films with a high growth per cycle by Ar multiple boost injection
497Plasma-Enhanced Atomic Layer Deposition of Ultrathin Oxide Coatings for Stabilized Lithium-Sulfur Batteries
498Growth of Bi2O3 Films by Thermal- and Plasma-Enhanced Atomic Layer Deposition Monitored with Real-Time Spectroscopic Ellipsometry for Photocatalytic Water Splitting
499Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application
500Effects of deposition temperature on the wear behavior and material properties of plasma enhanced atomic layer deposition (PEALD) titanium vanadium nitride thin films
501Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes
502Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer
503Atomic Layer Deposition and Electrical Properties of SrTiO3 Thin Films Grown Using Sr(C11H19O2)2, Ti(Oi-C3H7)4, and H2O
504Hot-wire-assisted atomic layer deposition of a high quality cobalt film using cobaltocene: Elementary reaction analysis on NHx radical formation
505The role of plasma in plasma-enhanced atomic layer deposition of crystalline films
506Plasma-enhanced atomic layer deposition of titanium oxynitrides films: A comparative spectroscopic and electrical study
507Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma
508The Cut-Off Phenomenon Effect on ZrO2 Growth Using Remote Plasma-Enhanced Atomic Layer Deposition
509Atomic layer deposition of ferroelectric Hf0.5Zr0.5O2 on single-layer, CVD-grown graphene
510Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization
511Growing c-axis oriented aluminum nitride films by Plasma-Enhanced Atomic Layer Deposition at low temperatures
512Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants
513Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application
514Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc
515Atomic Layer Deposition of the Conductive Delafossite PtCoO2
516Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3
517Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films
518Plasma-Assisted ALD of LiPO(N) for Solid State Batteries
519Plasma Enhanced Atomic Layer Deposition of SiN:H Using N2 and Silane
520Flatband voltage control in p-metal gate metal-oxide-semiconductor field effect transistor by insertion of TiO2 layer
521Effect of hydrogen peroxide pretreatment on ZnO-based metal-semiconductor-metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition
522Uniform Growth of Sub-5-Nanometer High-κ Dielectrics on MoS2 Using Plasma-Enhanced Atomic Layer Deposition
523Atomic layer epitaxy of Si on Ge(100) using Si2Cl6 and atomic hydrogen
524Moisture Barrier Properties of Al2O3 Films deposited by Remote Plasma Atomic Layer Deposition at Low Temperatures
525Atomic layer deposition of tin oxide using tetraethyltin to produce high-capacity Li-ion batteries
526Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2
527Enhanced electron field emission properties of high aspect ratio silicon nanowire-zinc oxide core-shell arrays
528Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition
529Radical-Enhanced Atomic Layer Deposition of Silver Thin Films Using Phosphine-Adducted Silver Carboxylates
530Influence of annealing and Al2O3 properties on the hydrogen-induced passivation of the Si/SiO2 interface
531Characteristics of Metal-Oxide-Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique
532Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications
533Plasma-Assisted Atomic Layer Deposition of Low Temperature SiO2
534Boosting n-Type Doping Levels of Ge With Co-Doping by Integrating Plasma-Assisted Atomic Layer Deposition and Flash Annealing Process
535Impact of the firing step on Al2O3 passivation on p-type Czochralski Si wafers: Electrical and chemical approaches
536Low-Temperature Growth of SiO2 Films by Plasma-Enhanced Atomic Layer Deposition
537Impact of hydrogen on the permanent deactivation of the boron-oxygen-related recombination center in crystalline silicon
538Simulation and Fabrication of HfO2 Thin Films Passivating Si from a Numerical Computer and Remote Plasma ALD
539Crystalline AlN Interfacial Layer on GaN Using Plasma-Enhanced Atomic Layer Deposition
540Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films
541Plasma-Enhanced Atomic Layer Deposition (PEALD) of TiN using the Organic Precursor Tetrakis(ethylmethylamido)Titanium (TEMAT)
542Development of Manufacturable Solutions for the Direct Plating of Copper on Robust ALD-Grown Barriers
543Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants
544Influence of argon plasma on the deposition of Al2O3 film onto the PET surfaces by atomic layer deposition
545Evaluation of V2O5 Coatings Grown By Plasma Enhanced and Thermal Atomic Layer Deposition
546Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films
547Correlation of carbon content with the thermal stability of ruthenium deposited by using RF-direct plasma-enhanced atomic-layer deposition
548Self-Limiting Growth of GaN at Low Temperatures
549A Silicon Nitride MIM Capacitor for Analog/Mixed-Signal Integrated Circuit using Manufacturable Atomic Layer Deposition Equipment
550ALD ruthenium oxide-carbon nanotube electrodes for supercapacitor applications
551Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition
552Atomic layer deposition of YMnO3 thin films
553GaN metal-insulator-semiconductor high-electron-mobility transistor with plasma enhanced atomic layer deposited AlN as gate dielectric and passivation
554Interfacial Layer Properties of HfO2 Films Formed by Plasma-Enhanced Atomic Layer Deposition on Silicon
555ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method
556Atomic layer deposited (ALD) SnO2 anodes with exceptional cycleability for Li-ion batteries
557In situ x-ray photoelectron emission analysis of the thermal stability of atomic layer deposited WOx as hole-selective contacts for Si solar cells
558Examining the role of hydrogen in the electrical performance of in situ fabricated metal-insulator-metal trilayers using an atomic layer deposited Al2O3 dielectric
559Low resistivity HfNx grown by plasma-assisted ALD with external rf substrate biasing
560Effects of NH3 pulse plasma on atomic layer deposition of tungsten nitride diffusion barrier
561Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation
562Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber
563Approaching the limits of dielectric breakdown for SiO2 films deposited by plasma-enhanced atomic layer deposition
564Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
565Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition
566The effect of atomic layer deposition temperature on switching properties of HfOx resistive RAM devices
567Effective work function modulation of the bilayer metal gate stacks by the Hf-doped thin TiN interlayer prepared by the in-situ atomic layer doping technique
568Epitaxial growth of AlN films via plasma-assisted atomic layer epitaxy
569Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
570Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process
571Fundamental beam studies of radical enhanced atomic layer deposition of TiN
572Plasma enhanced atomic layer deposition of aluminum sulfide thin films
573Effects of N2 RPN on the Structural and Electrical Characteristics of Remote Plasma Atomic Layer-Deposited HfO2 Films
574Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
575Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate
576Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries
577A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems
578Room-temperature plasma enhanced atomic layer deposition of aluminum silicate and its application in dye-sensitized solar cells
579Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition
580Effect of plasma and heat treatment on silicon dioxide films by plasma-enhanced atomic layer deposition
581Plasma-assisted atomic layer deposition and post-annealing enhancement of low resistivity and oxygen-free nickel nano-films using nickelocene and ammonia precursors
582From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
583Copper-ALD Seed Layer as an Enabler for Device Scaling
584Plasma enhanced atomic layer deposition of SiNx:H and SiO2
585Three-Dimensional Solid-State Lithium-Ion Batteries Fabricated by Conformal Vapor-Phase Chemistry
586RT Atomic Layer Deposition of ZrO2 By Using Plasma Excited Water Vapor
587Surface and sensing properties of PE-ALD SnO2 thin film
588Ag films grown by remote plasma enhanced atomic layer deposition on different substrates
589Integration of Electrochemically Deposited Cu with Plasma Enhanced Atomic Layer Deposition-Grown Cu Seed Layers
590Stability of plasma-enhanced atomic layer deposited barrier films in biological solutions
591Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition
592A New Pulse Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect
593TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD
594ZrO2 Thin Film Deposition on TiN by Plasma Enhanced Atomic Layer Deposition Using Cyclopentadienyltris(dimetylamino)zirconium
595Infrared and optical emission spectroscopy study of atmospheric pressure plasma-enhanced spatial ALD of Al2O3
596Conformal Fe, Co and Ni Films from Oxides and Nitrides Grown by Atomic Layer Deposition
597Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
598Low-temperature (≤200°C) plasma enhanced atomic layer deposition of dense titanium nitride thin films
599Atomic Layer Deposited Electron Transport Layers in Efficient Organometallic Halide Perovskite Devices
600Integrated Semiconductor/Catalyst Assemblies for Sustained Photoanodic Water Oxidation
601Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy
602Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
603Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System
604Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursors
605Plasma etch characteristics of aluminum nitride mask layers grown by low-temperature plasma enhanced atomic layer deposition in SF6 based plasmas
606Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition
607Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition
608A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films
609Thermal and plasma enhanced atomic layer deposition of ultrathin TiO2 on silicon from amide and alkoxide precursors: growth chemistry and photoelectrochemical performance
610Photocatalytic Properties of Co3O4-Coated TiO2 Powders Prepared by Plasma-Enhanced Atomic Layer Deposition
611Plasma-assisted atomic layer deposition of nickel oxide as hole transport layer for hybrid perovskite solar cells
612Time-Dependent Breakdown Mechanisms and Reliability Improvement in Edge Terminated AlGaN/GaN Schottky Diodes Under HTRB Tests
613Plasma-Assisted ALD for the Conformal Deposition of SiO2: Process, Material and Electronic Properties
614Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride
615Texture of atomic layer deposited ruthenium
616Atomic layer deposition of titanium nitride from TDMAT precursor
617Crystallization Study by Transmission Electron Microscopy of SrTiO3 Thin Films Prepared by Plasma-Assisted ALD
618Silicon dioxide deposition behavior via ALD using BTBAS with ozone or O2 plasma
619Influence of Atomic Layer Deposition Temperatures on TiO2/n-Si MOS Capacitor
620The Endocyclic Carbon Substituent of Guanidinate and Amidinate Precursors Controlling Atomic Layer Deposition of InN Films
621Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD
622Synthesis and characterization of titanium silicon oxide thin films prepared by plasma enhanced atomic layer deposition
623Infrared Study of Room Temperature Atomic Layer Deposition of SnO2 Using Sn(CH3)4 and Plasma Excited Humidified Argon
624High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
625Degradation of the deposition blocking layer during area-selective plasma-enhanced atomic layer deposition of cobalt
626Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes
627A comparative study of AlN and Al2O3 based gate stacks grown by atomic layer deposition on InGaAs
628Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriers
629Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
630Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films
631Plasma-Enhanced Atomic Layer Deposition of Two-Dimensional WS2 from WF6, H2 Plasma, and H2S
632Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition
633Characteristics of ALD-GZO Films with Driven-in Zn and Zn/Mg Sources for the Applications to Optoelectronic Devices
634Preliminary investigation of high-k materials - TiO2 doped Ta2O5 films by remote plasma ALD
635Silicon Nitride and Silicon Oxide Thin Films by Plasma ALD
636Plasma Enhanced Atomic Layer Deposition of TiCxNy Film with Various Reactive Gases
637Electrical Properties of Ultrathin Platinum Films by Plasma-Enhanced Atomic Layer Deposition
638Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition
639Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition
640Atomic layer deposition of cobalt phosphate from cobaltocene, trimethylphosphate, and O2 plasma
641Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition
642Formation of Al2O3 Film on Si Substrate by Microwave Generated Remote Plasma Assisted Atomic Layer Deposition Technique
643Magnetic Properties of CoFe2O4 Thin Films Synthesized by Radical-Enhanced Atomic Layer Deposition
644Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films
645Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
646Ultra-Low Temperature Deposition of Copper Seed Layers by PEALD
647Barrier Characteristics of ZrN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Using Tetrakis(diethylamino)zirconium Precursor
648In-gap states in titanium dioxide and oxynitride atomic layer deposited films
649Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method
650Understanding the Oxygen Evolution Reaction Mechanism on CoOx using Operando Ambient-Pressure X-ray Photoelectron Spectroscopy
651Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
652Effects of Recessed-Gate Structure on AlGaN/GaN-on-SiC MIS-HEMTs with Thin AlOxNy MIS Gate
653Growth of amorphous zinc tin oxide films using plasma-enhanced atomic layer deposition from bis(1-dimethylamino-2-methyl-2propoxy)tin, diethylzinc, and oxygen plasma
654Template-Based Synthesis of Aluminum Nitride Hollow Nanofibers Via Plasma-Enhanced Atomic Layer Deposition
655Scalability of plasma enhanced atomic layer deposited ruthenium films for interconnect applications
656Experimental demonstration of single electron transistors featuring SiO2 plasma-enhanced atomic layer deposition in Ni-SiO2-Ni tunnel junctions
657Impact of oxygen plasma on nitrided and annealed atomic layer deposited SiO2/high-k/metal gate for high-voltage input and output fin-shaped field effect transistor devices
658Remote Plasma Atomic Layer Deposition of Co3O4 Thin Films
659A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
660Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries
661Atomic layer deposition of GaN at low temperatures
662Controlling mechanical, structural, and optical properties of Al2O3 thin films deposited by plasma-enhanced atomic layer deposition with substrate biasing
663Radical Enhanced Atomic Layer Deposition of Titanium Dioxide
664Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
665Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries
666Initial and steady-state Ru growth by atomic layer deposition studied by in situ Angle Resolved X-ray Photoelectron Spectroscopy
667Low temperature deposition of 2D WS2 layers from WF6 and H2S precursors: impact of reducing agents
668Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition
669Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition
670In situ control of oxygen vacancies in TiO2 by atomic layer deposition for resistive switching devices
671Charge trapping characteristics of Au nanocrystals embedded in remote plasma atomic layer-deposited Al2O3 film as the tunnel and blocking oxides for nonvolatile memory applications
672The role of active species in the N2 and N2-H2 RF afterglows on selective surface nitriding of ALD-grown TiO2 films
673Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide
674Properties of nanostructured undoped ZrO2 thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells
675Trilayer Tunnel Selectors for Memristor Memory Cells
676Effects of carbon contaminations on Y2O3-stabilized ZrO2 thin film electrolyte prepared by atomic layer deposition for thin film solid oxide fuel cells
677Atomic layer deposition of WNx thin films using a F-free tungsten metal-organic precursor and NH3 plasma as a Cu-diffusion barrier
678Atomic Layer Deposition of Aluminum Phosphate Using AlMe3, PO(OMe)3, and O2 Plasma: Film Growth and Surface Reactions
679Practical Challenges of Processing III-Nitride/Graphene/SiC Devices
680Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma
681Plasma-enhanced ALD system for SRF cavity
682A route to low temperature growth of single crystal GaN on sapphire
683Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes
684High-aspect-ratio TSVs with thALD/PEALD tantalum-based barrier layer, thALD Ruthenium seed layer and subsequent copper electroplating
685Formation of aluminum nitride thin films as gate dielectrics on Si(100)
686Electrochemical Activation of Atomic Layer-Deposited Cobalt Phosphate Electrocatalysts for Water Oxidation
687XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition
688Plasma-enhanced atomic layer deposition of tantalum nitride thin films using tertiary-amylimido-tris(dimethylamido)tantalum and hydrogen plasma
689Self-limiting growth of GaN using plasma-enhanced atomic layer deposition
690Silicon nanowire lithium-ion battery anodes with ALD deposited TiN coatings demonstrate a major improvement in cycling performance
691Enhancing the Wettability of High Aspect-Ratio Through-Silicon Vias Lined With LPCVD Silicon Nitride or PE-ALD Titanium Nitride for Void-Free Bottom-Up Copper Electroplating
692Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition
693Growth of aluminum nitride films by plasma-enhanced atomic layer deposition
694Effect of varying plasma properties on III-nitride film growth by plasma enhanced atomic layer epitaxy
695Plasma Enhanced Atomic Layer Deposition of Al2O3 and TiN
696Hydrogen plasma-enhanced atomic layer deposition of copper thin films
697Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates
698The properties of Ru films deposited by remote plasma atomic layer deposition on Ar plasma-treated SiO2
699Investigation of Tungsten Nitride Deposition Using Tungsten Hexafluoride Precursor for Via and Plug Metallization
700In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition
701Platinum thin films with good thermal and chemical stability fabricated by inductively coupled plasma-enhanced atomic layer deposition at low temperatures
702Impact of Growth Conditions on the Phase Selectivity and Epitaxial Quality of TiO2 Films Grown by the Plasma-Assisted Atomic Layer Deposition
703Room-temperature plasma-enhanced atomic layer deposition of ZnO: Film growth dependence on the PEALD reactor configuration
704Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 Studied by Gas-Phase Infrared and Optical Emission Spectroscopy
705Large area photoelectrodes based on hybrids of CNT fibres and ALD-grown TiO2
706Increment of the Dielectric Constant of Ta2O5 Thin Films by Retarding Interface Oxide Growth on Si Substrates
707Hierarchical Atomic Layer Deposited V2O5 on 3D Printed Nanocarbon Electrodes for High-Performance Aqueous Zinc-Ion Batteries
708Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition
709Growth Characteristics and Film Properties of Cerium Dioxide Prepared by Plasma-Enhanced Atomic Layer Deposition
710Characteristics of Plasma-Enhanced Atomic-Layer Deposited (PEALD) SnO2 Thin Films
711Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications
712High-Reflective Coatings For Ground and Space Based Applications
713Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia
714A comparison between remote plasma-enhanced and thermal ALD of Hafnium-nitride thin films
715Highly Tunable Electrical Properties in Undoped ZnO Grown by Plasma Enhanced Thermal-ALD
716In Situ Control of Oxygen Vacancies in TaOx Thin Films via Plasma-Enhanced Atomic Layer Deposition for Resistive Switching Memory Applications
717Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
718Remote plasma atomic layer deposited Al2O3 4H-SiC MOS capacitor with remote H2 plasma passivation and post metallization annealing
719Atomic layer deposition of Co3O4 on carbon nanotubes/carbon cloth for high-capacitance and ultrastable supercapacitor electrode
720Radical Enhanced Atomic Layer Deposition of Tantalum Oxide - Thesis Coverage
721Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices
722GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride
723Plasma enhanced atomic layer deposition of zinc sulfide thin films
724Plasma-assisted atomic layer deposition of germanium antimony tellurium compounds
725The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum- Based Thin Films for Copper Diffusion Barrier Applications
726Effect of Thermal Annealing on La2O3 Films Grown by Plasma Enhanced Atomic Layer Deposition
727Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition
728Precursor-surface interactions revealed during plasma-enhanced atomic layer deposition of metal oxide thin films by in-situ spectroscopic ellipsometry
729Remote plasma enhanced atomic layer deposition of ZnO for thin film electronic applications
730Stabilization of Lithium Metal Anodes by Hybrid Artificial Solid Electrolyte Interphase
731Field-enhanced direct tunneling in ultrathin atomic-layer-deposition-grown Au-Al2O3-Cr metal-insulator-metal structures
732Evaluation of NbN thin films grown by MOCVD and plasma-enhanced ALD for gate electrode application in high-k/SiO2 gate stacks
733GeSbTe deposition for the PRAM application
734Deposition and Characterization of RP-ALD SiO2 Thin Films with Different Oxygen Plasma Powers
735Superconducting niobium nitride thin films deposited by metal organic plasma-enhanced atomic layer deposition
736ALD titanium nitride coated carbon nanotube electrodes for electrochemical supercapacitors
737Low temperature depositions of GaN thin films by plasma-enhanced atomic layer deposition
738Large-area plasmonic hot-spot arrays: sub-2 nm interparticle separations with plasma-enhanced atomic layer deposition of Ag on periodic arrays of Si nanopillars
739Influence of plasma-based in-situ surface cleaning procedures on HfO2/In0.53Ga0.47As gate stack properties
740Resistive switching properties of plasma enhanced-ALD La2O3 for novel nonvolatile memory application
741ZrO2-coated SiC nanowires prepared by plasma-enhanced atomic layer chemical vapor deposition
742A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
743Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor
744Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films
745AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms
746Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
747Alloyed 2D Metal-Semiconductor Atomic Layer Junctions
748Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition
749Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
750Atomic Layer Deposition of Niobium Nitride from Different Precursors
751Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method
752Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition
753Lanthanum-Oxide Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition
754Superconducting niobium titanium nitride thin films deposited by plasma-enhanced atomic layer deposition
755Atomic Layer Deposition of Cobalt Phosphide for Efficient Water Splitting
756Plasma enhanced atomic layer deposition of gallium oxide on crystalline silicon: demonstration of surface passivation and negative interfacial charge
757Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods
758Plasma enhanced atomic layer deposition of molybdenum carbide and nitride with bis(tert-butylimido)bis(dimethylamido) molybdenum
759Investigating the TiN film quality and growth behavior for plasma-enhanced atomic layer deposition using TiCl4 and N2/H2/Ar radicals
760In-system photoelectron spectroscopy study of tin oxide layers produced from tetrakis(dimethylamino)tin by plasma enhanced atomic layer deposition
761Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films
762Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies
763Fully CMOS-compatible titanium nitride nanoantennas
764Nitride passivation of the interface between high-k dielectrics and SiGe
765Initiation of atomic layer deposition of metal oxides on polymer substrates by water plasma pretreatment
766Plasma-assisted ALD to functionalize PET: towards new generation flexible gadgets
767Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition
768Plasma Enhanced Atomic Layer Deposition Passivated HfO2/AlN/In0.53Ga0.47As MOSCAPs With Sub-Nanometer Equivalent Oxide Thickness and Low Interface Trap Density
769Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions
770Analysis of nitrogen species in titanium oxynitride ALD films
771Low-temperature atomic layer deposition of TiO2, Al2O3,and ZnO thin films
772Effect of plasma power on the structural properties of tin oxide prepared by plasma-enhanced atomic layer deposition
773Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps
774In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating
775Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor
776Highly-conformal nanocrystalline molybdenum nitride thin films by atomic layer deposition as a diffusion barrier against Cu
777Moisture barrier properties of thin organic-inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor
778Characteristics of remote plasma atomic layer-deposited HfO2 films on O2 and N2 plasma-pretreated Si substrates
779Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO
780Room-Temperature Atomic Layer Deposition of Platinum
781Optical Properties of HfO2 Thin Films Grown by Atomic Layer Deposition
782The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer
783Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films
784Plasma Enhanced Atomic Layer Deposition on Powders
785Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition
786Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
787Top-down fabrication of fluorine-doped tin oxide nanopillar substrates for solar water splitting
788Effects of rapid thermal annealing on the properties of AlN films deposited by PEALD on AlGaN/GaN heterostructures
789Growth, physical and electrical characterization of nickel oxide thin films prepared by plasma-enhanced atomic layer deposition using nickelocene and oxygen precursors
790TiN/AlN Nano Multilayers Film Fabricated by Plasma Enhanced Atomic Layer Deposition
791Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma
792Low-Temperature Plasma-Enhanced Atomic Layer Deposition of SiO2 Using Carbon Dioxide
793Plasma treatment to tailor growth and photoelectric performance of plasma-enhanced atomic layer deposition SnOx infrared transparent conductive thin films
794Ru Thin Film Formation Using Oxygen Plasma Enhanced ALD and Rapid Thermal Processing
795Room Temperature Atomic Layer Deposition of Gallium Oxide Investigated by IR Absorption Spectroscopy
796Effect of substrate on the growth and properties of MoS2 thin films grown by plasma-enhanced atomic layer deposition
797Atomic Layer Deposition of Ruthenium and Ruthenium-oxide Thin Films by Using a Ru(EtCp)2 Precursor and Oxygen Gas
798Surface reactions of aminosilane precursors during N2 plasma-assisted atomic layer deposition of SiNx
799Aluminum Oxide at the Monolayer Limit via Oxidant-Free Plasma-Assisted Atomic Layer Deposition on GaN
800Reliability testing of high aspect ratio through silicon vias fabricated with atomic layer deposition barrier, seed layer and direct plating and material properties characterization of electrografted insulator, barrier and seed layer for 3-D integration
801Comparative study of structural electrical dielectric and ferroelectric properties of HfO2 deposited by plasma-enhanced atomic layer deposition and radio frequency sputtering technique for the application in 1-T FeFET
802Firing Stable Al2O3/SiNx Layer Stack Passivation for the Front Side Boron Emitter of n-type Silicon Solar Cells
803A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology
804Understanding the EOT-Jg degradation in Ru/SrTiOx/Ru metal-insulator-metal capacitors formed with Ru atomic layer deposition
805Thin effective oxide thickness (~0.5 nm) and low leakage current gate dielectric for Ge MOS devices by plasma nitrided Al2O3 intermediate layer
806Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
807A film-texture driven piezoelectricity of AlN thin films grown at low temperatures by plasma-enhanced atomic layer deposition
808Plasma-Assisted Atomic Layer Deposition of High-Density Ni Nanoparticles for Amorphous In-Ga-Zn-O Thin Film Transistor Memory
809A study on the growth mechanism and gas diffusion barrier property of homogeneously mixed silicon-tin oxide by atomic layer deposition
810Tetraallyltin precursor for plasma enhanced atomic layer deposition of tin oxide: Growth study and material characterization
811Ru thin film grown on TaN by plasma enhanced atomic layer deposition
812Effects of H2 plasma and annealing on atomic-layer-deposited Al2O3 films and Al/Al2O3/Si structures
813Topographical selective deposition: A comparison between plasma-enhanced atomic layer deposition/sputtering and plasma-enhanced atomic layer deposition/quasi-atomic layer etching approaches
814Atomic Layer Deposition Al2O3 Thin Films in Magnetized Radio Frequency Plasma Source
815Evaluation of plasma parameters on PEALD deposited TaCN
816Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
817Structural and electrical properties of ultrathin niobium nitride films grown by atomic layer deposition
818Microscopic origin of bipolar resistive switching of nanoscale titanium oxide thin films
819Role of low-energy ion irradiation in the formation of an aluminum germanate layer on a germanium substrate by radical-enhanced atomic layer deposition
820Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces
821Optimization of Plasma Enhanced Atomic Layer Deposition Processes for Oxides, Nitrides and Metals in the Oxford Instruments FlexAL Reactor
822Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry
823Hot-wire assisted ALD of tungsten films: In-situ study of the interplay between CVD, etching, and ALD modes
824Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition
825Effect of Deposition Method on Valence Band Offsets of SiO2 and Al2O3 on (Al0.14Ga0.86)2O3
826Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces
827Metallic Copper Thin Films Grown by Plasma-Enhanced Atomic Layer Deposition of Air Stable Precursors
828Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate
829Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD
830Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma
831Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor
832Ni80Fe20 nanotubes with optimized spintronic functionalities prepared by atomic layer deposition
833HfO2/HfOxNy/HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer
834Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon
835Effect of Plasma-Enhanced Atomic Layer Deposition on Oxygen Overabundance and Its Influence on the Morphological, Optical, Structural, and Mechanical Properties of Al-Doped TiO2 Coating
836A comparison between HfO2/Al2O3 nano-laminates and ternary HfxAlyO compound as the dielectric material in InGaAs based metal-oxide-semiconductor (MOS) capacitors
837An ultra-thin SiO2 ALD layer for void-free bonding of III-V material on silicon
838Plasma Enhanced Atomic Layer Deposition of Ru-Ta composite film as a Seed Layer for CVD Cu filling
839Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti-Si-N thin films
840Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition
841Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface
842Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor
843Chemical, optical, and electrical characterization of Ga2O3 thin films grown by plasma-enhanced atomic layer deposition